From 67f8f1c9fa70166d394e30d5fa5717cda94850a8 Mon Sep 17 00:00:00 2001 From: Jean-Daniel Massard Date: Mon, 2 Apr 2018 15:26:49 +0200 Subject: [PATCH] Update Ajout d'indication, ajout d'un programme Arduino pour tester --- Serial Observer/.vs/Serial Observer/v15/.suo | Bin 57856 -> 57856 bytes .../v15/Server/sqlite3/storage.ide | Bin 598016 -> 602112 bytes .../v15/Server/sqlite3/storage.ide-shm | Bin 32768 -> 0 bytes .../v15/Server/sqlite3/storage.ide-wal | Bin 4128272 -> 0 bytes .../Serial Observer/Form1.Designer.cs | 101 +++++++++++++++--- Serial Observer/Serial Observer/Form1.cs | 13 ++- .../bin/Debug/Serial Observer.exe | Bin 15360 -> 16896 bytes .../bin/Debug/Serial Observer.pdb | Bin 28160 -> 28160 bytes .../bin/Release/Serial Observer.exe | Bin 14848 -> 16384 bytes .../bin/Release/Serial Observer.pdb | Bin 26112 -> 28160 bytes ...ial Observer.csproj.GenerateResource.cache | Bin 1012 -> 1012 bytes .../obj/Debug/Serial Observer.exe | Bin 15360 -> 16896 bytes .../obj/Debug/Serial Observer.pdb | Bin 28160 -> 28160 bytes ...ial Observer.csproj.GenerateResource.cache | Bin 1012 -> 1012 bytes .../obj/Release/Serial Observer.exe | Bin 14848 -> 16384 bytes .../obj/Release/Serial Observer.pdb | Bin 26112 -> 28160 bytes microCopy/microCopy.ino | 53 +++++++++ 17 files changed, 149 insertions(+), 18 deletions(-) delete mode 100644 Serial Observer/.vs/Serial Observer/v15/Server/sqlite3/storage.ide-shm delete mode 100644 Serial Observer/.vs/Serial Observer/v15/Server/sqlite3/storage.ide-wal create mode 100644 microCopy/microCopy.ino diff --git a/Serial Observer/.vs/Serial Observer/v15/.suo b/Serial Observer/.vs/Serial Observer/v15/.suo index 22d211549d9fb087a25121f0d20a6bfdcbdd50f0..f7f75077b2e32255681eb4d576bca6fc580025cb 100644 GIT binary patch delta 1932 zcmcgsZA@EL7(VCr8*aZC(86#ODA?#|+JZw7qyxr~F-nAGi_t_Beh_CQtVP%uy(KJs zO*Za}rt^m}@rQ}YV%(j7Of=0PE?H(~;veIWX`(Ul-!wKEE`ZM|EoqF?#28QV+;iT~ z`<(Z@=O`OGWkYwlh1))EwM$%2RZ^ujHGB2v3%9=JwTv-^ri|zKBBiB1S~mvyvlP=s zWFstfzzzl_oL)XlX<(2HTGRsG}KNeS}CqCD9Qg0PVF zm<3_6zZ_wq7vtfCO&P)k?%H{TiSzl1?yZb?lu=fBNAt*CnzQl zn4S5mDM~{nXzzJVQq02Jvs#237oj}GX*<|X0ZGq4C4Qxz{7o}-?}j5@_sM5HCoAg9Y8r3O zNI(5}3BH+hBIPJ5IFIUuvAM4}W6$I0nAkFeLU7^`ONBn;^q#)cq^pNoNF`Y4qOt3_^W z{&8%h?05L;OY(nSdCq9F<^exKX>XjjQ>->ZowbeJOq;G-R#pXWWm$figYKrZJI;?% ztSrvED2?xn6f5810~FgIkww$#^4u-YK|nqJ&CycSx#kwhaxE!lQ&uLA?VFOeKN&k9 zchZ*CCHG);4Cnpg|y6-z?<>FMmh!C@=AVq_7cEKxekSY0;>C_!@ zbs5%G)PBg#xt2)AIq5?!qKP#$1*gl-#w^@wk@^3xjirbLe67DZex)w_N4=3$EYh5^VEH1$NpoZlygOrOwZa2_p~2z8ioHQ15k zU4wKx^dxPBim9`~r#7t7?1h7>FS=La_wNU|DO*f!=Qx*De>C&^i0nd!av(=?mrr4a z57Zbmbp0*ry;`9uo&6>?r2O-*uk}d>XsxYCYyPO&ruDshy;76j*{-2fLzupwQ#557 zXOt)C=-26C%{z0kknSxybewT?K&!fBrpA`XXe?=?@KTZ%oIZ7Vkk*zDlCm7M53mt7 z&PMSpoMs*t(NfE=N~J;OXRoj`>;!m=+H?n406R#mv}wx9gj8^XJPs6~a66@|ltGiIMRa26lrZ*{Hv2NKLt$;%d?- Xnv=rGFts#|;X(b7LWj!9e?|TS@o=!~ delta 2313 zcmds%ZA@EL7{~9qZRw@lLSaD5YYP-YBG$INzswa{UIe6U%y-P@1a)yTEp!_o>&2LC zGh4#$LyR#oSr!u$KP<{k^pj8nnb9vB__8^d1rkjbzswl>fh+_6r=zo)X*8KJ@g~3f zoR{Z0=ehrL?xQT}lqKCv3rqQMdxpp?R3h5b`#*Z;y{Rw0WHvz%qNOyEGQo~fOzfk@ z6c6j7urA1CI+ghV8>g7AdjICulL2=LY@ly}%>=n19b|!o-?pvx_AOfgTL_9kF|dOY z!2L^M%YYNq(z4$FL>*f7paC?3CeRF80N?pR*d4$JT0tA|g8*m;9iS6*frmgh=mC4N zdXOA?J==rUp3{3Bs@TiYxFF`2Kl%AnE=W22qBJf}{<$7TdtM&A^nhoRc+$|%#=2!W z4z!Gb&*W@b6J`pSm8SJK*#=D+>QhHBa}e-c{Hn=j=8N4~P%Fv$j;XTWEHL@3Y+`P%z-g3bRtO2(i_kf1Tx#Lata!JCw`RVD88JT z*qYn8n%u22S-W1iJ`DOm5cGpY6m=P#67M{XK^n6Ul_zGneJ#mz3hkpH0u;Js?hx5F ze$8ksykyzTT`#PCy zMHs*2i4>qE9l8IwYFng5(Zf0^W{a>63g<;wJH_xGq;UQw+eP7mpv;5JNx`W*(GkJ_ z;UrGZRR#V+pMcE&5q{ZzC!MY5kGdK7U#q9}oSQ5_vD^r2rLZ+9^XkI?v_!PQhmJ(+ zCEdJCsns}!(q5V0YhHg6UhEAmRvKaCyNG$`t4v3+nn+4MJStG=%8#;px={fmi))DO zps*{#j1+Ti8hApxX}xUnw-mHkjgIk+J;!iIroDdBS7*~@Zxu=2Y>J}IQL1-?Dsqbw zN%IYA{@CrSWY~ojCurOgl#<0X#IKUJUi-CZ+tgs?{UZ2rj`RRO9Ux>QKsfo&tS#p%L2PBP|X56koqMn;H@h3By827^F3C z8B}S23VKqOvq^?Z(Pa<%8FMQyiq>a@1A+sUbDuC8kGLHt(2pw~`4Oznx9D;UZf)VK z!=kAb+t(qDT0!$&n$_q2z!OM3a4knWaE*E{T~WU^XrEs#WktiGL&KrLj)S3>Jg#R) z9Zv;@NB2Mf_ytouiq@_+kZ-k;Dl2THtok(Nn!?V$?cDlsh0)n22c21KB9pg*e9Jz~ zSLtKws*jg&q4355*Xys(Z}1Y8Hi1E2h4IkN$ydm|_CD=v$c4379`(OvXnyX* s$2Z!->dL#P+|%9sLDKfqC0UsA)Am2H^Ozr$#Y>f`XnNxV`pzM&dS2ZCRyK! z1f|Tx;1LVxyudJjZ*Bya!{=gNT3Xogk;ZCtM;X}O!NS@uS%2bB!HwF+47mwJhzTs) zkFx++ho4}bOI|TFw2?`2z~4#meT-^lNg+BA)&X^#)mRGLM93j}lmuG^!m9E_9eB{w zNy!Ez;OP7De$?-rWH=4rq)s~i7zg}Qok%A#aeKIb6Mh79h8;>?+uG_7kX(#4ZvzF{ zxr&s`!(4qCBH;_f1ar~R#aQY}B_q5$bmR$2Z@>y5OsPldO^I@JlafMC+DV~Ms0Svq z*EX;1L)Z7AFc1O|A`lV~G7t(7YFQtOEL%xSV`d4+DXerOQSl4J4wI-k;~x+)#fZE* zuU@z2Nx6EjQr&cSzNFLVV$|V;RFRVynMq3}WW|$H7-^8m=qs|ph_v_h1@n?6T`2`m zjF*0@-nskTlODSkSoQkKV z`E`{s|3pYsLN-&R`xV(__#+4f{Xw!>OM@u#=tq!Ow1s673QdKE%G*FR!c9miM~S3(gm9DoST0x21<6K; zfjU;HR{}CF6U~SDVT3K5BIjSDbyqW)>$Q#;cl1>RJu+30Z z^Xp7+Z9G=()NOF)fcY8G`8o$9Y96cc%SM|06Hhh2$MStoQInnt+;i{5iwo2lJ~6PB zs(t{MVUeC;xKg)b;#wA~{7~4VxOW>93s3#wS?JZDN6oXFEE)lcRTnLDLtq%+zeynF zeG&|?60CGgiXNpo>_UDziq6ybqPfCLMey6J{@1T|G?v??SDUuAzRg38sb>b|8 z*E1tFPI~F}N9B#S41=yP$2qyT_Kcu%E0odv))P_dB&K$k?m#&Q?7hfa#Mk`~j+z!D zwoWWft+A(T!GHOcJYs)>9*^dWjntmOt$m0pcC?r z$IFVB=D9pa@37K5mp@!Lxbru_dlQN(99+VWw$=KYKc%0_y7l`D)lMNIq0h55ty~uV zV*2RvjF?lbGdK41OulTer5rQ1%FG$N@bX2EgVuhw=3;i;m%WwC(Uz9+wA-c)=(Fu` z`uQwcAgv|LMXw_K=F}a9X@_o#M_hpu4iz0=kZ!a4>G4=dva>B-#q8zmhRgP!3ksHJ zJ6MiLKG_{~EU2;EF4MB~`8fyYW=owVbNik3V*NU*7>)OiAiU6hr7VS7kC3mFF75NZ zAI8tDJSSN)F{ZbnxJm4kuuGa^CNOLm?l0dee$qrKbh3H5UzhQA zcS+Fmzzc6L*>}t!U(eKw&STaaEOzXE**x7P*Y!V+JLW_^^rbDNjIBt_oX#-Quam#G zNW=G(-CDS}_U>n+{5KXGJZobXsMb`i3>9_4rOdt-%r#L9RtLK^3R|66LQu8DERu`} zY)foGk}+YcC6<(ALVj$CZ>Uw0hDb7sra;iP!fF9#sTKASVD?yHx~LhU$qJi|8W8lY zF+OTc2(%W}uxO^}erpKA&l`MDG$J^G+=zf69ykQuc&q1dBDr3?7y*P35V95kv;(k) z6bita!@=xmE;o1>dW;POX%3>lGws0WIGz5hiq#Nx9!D=2^GW-GhPbdxJ&r> z-fy;m7d;Hz`>k!|z*vER7Zt$g3Sy&G@j5o-gR?CJDVM=ov~eUO2qLVOF=Ml`j2Y48 zf6iVoyKij%9naehu3LY9(_YmnTB1$MKsNv)xw` zbRKlCZT)~L3Tekt9|hkZo%B(KxO$ih4umzX;GF?FYW`|v2g z)Pvnq9^QKI?Z5Tuq~D4*{9eyqUtg)#`yuB*hP;@5bc$U;dqZUPhDUCr%~v;e84?vQ z=?B}}a35u++BV*<^TrcK^qUUtKH(Khnk#0bL9Q4P*{NBgW+u%Clf?CDC?F{im0%1h zIVd(N^bm{@6Y5>11I5YpFq+{%SPeu@11sOH2<^$3l9u5%^aL5G6Xhxsl^2+Iez+Rn z6?w5MLpOsp2-)T)gXB1=JC@#ySZn&KH2B88wr%52B%c~QQ1Aj>TrsSal%oT+GNZ>Zt94Ry?fFBPBcBKK+;Dm+si_GN<3iyJ{*xN> z9FSw^6>oo9_@Sl#LZz171#>=yTnK-YWAJd5S>BA6``1cee14b^>d)j?Gp#b+naQUtTAg7&e3>i>4uuX_pkF+t0k<9%4=DhNACGqJy8QE z)K}OZ+bOUi(CFAb!5CXpP{Y-6f`UQO_?C7!>jK;(LW9B)IyhV?OacTIf$mHL>le&) zQ3S0dL-ra((GLpY28DyuC}0|Q^^_<9=+mQC1i0gq++g<*PSgr+@Lxs>RUt?pn;UCL zz|^p~SaVZ5rmQWL`k)leiQ!9+G}(!Qs7XH#QsXcatBD;+AG+_+!6e__hmFG2<2(q9 zM`MfQEYYL75H$D`K%oP_=JVJB+^@e3E&#tagY6}S5fRE4fF(@j&F9VH@CDNa+(?8b z{WkEcS^ux)ve!$0i%3winT$ONMMs$Shi@A8zH&Did$q*k?CSy;Q(0|q$X=f%JD&at z32Gc!AcGEeciuel4kxiGmJ``$5Pc@*$s@&a#@|x%7rMLe8Ts?(4*gfUyI*ha(0`@7 z`}O7y{a3oXe`xM-#*uNBSwkfU`TJlOAz;`4$tjH?PW3aSoZ36`?>NO6^J5L19 zc7b`YY#hW1P-Se}oWb(6)YsI-_@U%=+UcaeOj(o11Yi+ZNfM;qffB+0NjQ4ieU%4H z?E&6U-UGs6qy^a9c`yyyFc1uKsIvfxozuTZYe)r67T|))V2uUDv6uk&M>c8Vm=A4> zC*d%UWTsn1oSdLvp`m{XX&XT)GIc(D*V`wYp! z0t2VljB08|TtgeL_x5*xI8;0H7wQQI4MOagdhraW634x}4nN+oE@@)EM0izV`g-Zx z*G{_g+k21sh44cm&0~1TjB;vL$kC{g|d_ZOeIT^bOmgy87ARUyt6T z&sDqK>1JgVCoED~%9`DmIo|+YQFVfnS&cjKeUoFCj8VFC<3(emb)8ddZ0aJt227s) zU0!cLk~cmtaK9f~JQj3B#8)p4#`T%M8IQe97;B5|Zod~AQ?WYuW?k#4@9y3zu;1sl zM|O1b?vkpG;Aag173u96Yqdm#V!_!M(Mw58{^{BUVbA?5t4_KV#clG+SopLuc6oJ1 zi1Wc_ZvMu2EUZonc#7Un8mYZL%HcDVj`Jez%jm(B092P4@H{go%5ui_p}%HBb5onpI8UYqEX@oI*cAu{trtRMzHisafOh??0uz+5MC zCJdF5opgD|59}Nsf;d8*ob<-l^PyHo$}yH3ERm5y`bs8=Wx2tpa?;&~4=}*-V5(j7 zphq$#Scni>WMm}fI}>j9BmJ=*B3R)^y3Y7dhl&~7HVylcO=uJDO8T>81?t_M?=4o! zd2cc7`v#q_i|?f`X0&Pd1~#%9{`hJWj1!VY`h2F-WqvKa`q6!n=RTV%s%}m*J-T|( zKdM)P%o`Y5nOxg)?|4V;^aMKI>OOMw@p_g=6~1VPcQ zWcI_npRczXAH~q>ovv`NC;2Ac6I=ob8XSTXg1fs15AN>nE&)RD5UlQQ)P=g2DlPTWmb!asOIz4G zZKs*(2d0w*GRgDKoO^e6_nzJJd(ZCqaA(emIvz_Xss}|7aUl5E9c-UGk`Q+yzEMj2 zp@YYwlM)NW9L|$6F*5vU)c-s`RCg$L2lbvx6@Tr8dcposz%81`nv!2zx2v^de!6HqA6gmMxZ(;ODCfCVgI0Sj2b0v51<1uS3z3s}Gc7O;Q?EMNf(Sik}nuz&?DU;ztQ zzycPqfCVgI0Sj2b0v51<1uS3z3s}Gc7O;Q?EMNf(Sik}nus~)ONcnsAiOhVDzBdbG zjKDf=P@=YIyLS0G$QWYRnrQ{rYoj)6t9B^ay+6}t$v2iU0tworByH19g=Xe*Sik}n zut0VYP%z6@?qGJT0)!Jm5sFii(v+nFm8cTT1X+{X)S*5NX+kqv(wcU3pfg?RK`;8y zkAVzgD8m`W7{)V^DNJJ~vzf;N7PFKUtYR(e*+?Q=*cQyfmCPRYaUK_NF_&@$S8*-Z za}&348+URy_i;ZD@d%IeB+u|HFYpqt@G5Wc7RPvx5BP{r`JAu#hVS{26a32W{E3u9 zxs_K16s`yrQE`=2X_Zw4RZ|z zqcv6&G)Yr6U9&Vt^R-Y*v`j0tT6;W3W`_sEZL~lZ7uf4JSr*T*n`Z$FSik}nuz&?D zU;ztQzycPqfCVgI0Sj0ldkBODs|n>H9|b8yBtzNy3mcD#L<`j#50&-j9@flnZP8bGM!n>VLl64!ZKE}nsp?wiOpons+{HZ{;sGA!QJ&x_4)Yu@a)hJ2#+$s&yS&ebe9UKj!Pk7t z5B$V0{Kg-gB1}1zNBLAxg%qixDxoNqQ8`sqw5qDQYAIHA)j*BaR4vp>ZPi|#)J5IZ zOTE=k12jlOG+ZM!M&mS5lQm5hX+c{$(VgB5U?(MKd)| ji?u>)wcqdAEZzIgb>l)S`8q6M0Sj2b0v52qe+5nh?LJ?k diff --git a/Serial Observer/.vs/Serial Observer/v15/Server/sqlite3/storage.ide-wal b/Serial Observer/.vs/Serial Observer/v15/Server/sqlite3/storage.ide-wal deleted file mode 100644 index 99215884e97b2535d3c73b34be5aff1bf3b6b019..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4128272 zcmeF42|!fU_s0hq9s?*lqN2&-f`TOOqCaJGS40-2L~)pTz{qUO3pE|_@6F}B`_8-b?)Q9d zx5#r~j$jeAeVs#3sa##kG%x87nYQSGz50~k+I?Xtz zETgm7lNzL05kI4g*yLB2712q@E7Vz7mqtccv8hQN)+L@bgby$n zv{)J6y1qiO8Xb!j@i8_KoBZp-D%SfwJT@s?sxzC#yRNTMs!AC?M4`?_(|8#fvB|wI zOvCvWtPIq{(d3lz;x#d@VRj#IahXrVt zXQdxxJTE3(Pk`)>E0AzK9WtID6Ru}N7VaMp>k`PAa6e`|AATQh$G;1YcYuuFD~62q z#5$opuq@UKGj7LYa2vmje+wRm8P9_m%i#A+?O&4MXp~Kltl0Q#t>XvH+diV(>d2!% zcIkY0&9ah5r&6vR={;z;;|*rAW$+}OA1#5`Jz7?0fS3319>f5zS@m&jrZi7!NM!Zq z`sw+Fp;EOpi`B)#zC0bvy(Yrf)e+Hh`4ENPFc99Q4^-!Ca@ZI)OQE(b_EpO*3vmj) zR-7?3(?CX3WBGW-V<7X* zsXr`ZS=^4_jh79VBe-=ue+Xne5AMUn*RcsK^OqX8PnVVqb2MuHo*(`-?8U0c&WZsV@a?99ceYj=Z*9|i7$MC$oA8QTEydUHJ z9{R2*$h;rRfMq-e{n#^*EAwN6V7t(d!785CsuwuAbG|OP-DmwsFHo7DpdRW4vPmyM zdI8c4SS2Q$sDytZUN)9RdI7WAhBFNRBAWC977-F>7U9?y=>>RI7U>1bd6g>1wn#5f zsZi~S48W3J!0g~jFHk{Y$~kw^3*@Agb7Z6!s6v#?HJSf)y@2(-Kz_@1CpZ7_yeqzQ z;PnEG)(zkGQz8qH1;_$q0kS}iTY&TeFeXoW0n!VUiaML|4qHX0yxwfN3bA5Gx&^qlQDQc7rR7J zgKn=VxXBoSW=4*+1k98S$T79$R44a;Lzk6`AeZen0H|Y0Ye55IUb4iPbi7sREW)v0= z2>ipoRS(a0!#XWa)Jp8^@cKrA59v1Ki^iimhk9j-J8pme7e$K8e>%RY7>$G2Z@Y@@w&X+D&{Kf0Bm&H@|snS=vp1ziNU`>>JbZ*S7wV!-) zW@4+R{>dl%Kht|p_cg;m{_WNM4eu>yc9cwdfBFX(nzas6G~D{bd40rH!moa+Dm%+)vkopf<8 z6W8TN-_O1t{n^{Ee)9gZVZ(Rz&EC3V^}JE<-}-%2`sdBgjv7?F@6B=FH=XlhkL;sg ze!ra$12$@sey%~Bn%dqCoJG9&`X(1$e_ew zD1mw=Oz>UyA#L$k^d6634^|8l(>No~V9==3wNR&6jatph4C%2-g)E2h4$)+cgVnHr zzWEUI8?DRIn@`~n4azn4drVoD`LDclrxc)v5I_FK0vCLD_I@W%33>%5rt(c)^`#pti1X2c@0!63~(6~ z&#|!@=%L4G3M9_vYC;K_mq-Nvn-k-vmFn~?UM>i-X61||L~qc6#MWX5Frye0ro4WU zakrLEkm?kMLW!68q)@C7it&0grlGYM*14?Eey|SSu$Tmek^m1sPeJQ}A-`-5{+&s-f9Hf2mL_km zo9h6!AX#H6QC2g%fU@>x99wk3;svM1S-jxnt?!2YXGPn#OV4e})4 z{vQqq?@#lYQ27lB8k~r6;xx{c-JwxX;BCk#-^0X+he{P{%WcB=mA^5$6Jh&J!pm+x z1eS}ASpH07JIkLr<*0vB+=lNC&GeglyUBu+#`Rx!Z(IJ)6#mK9W$uL5kF27-+?f+C zXI>b6B*x{r)E%RJzKm}lX+O4i@e7`KW^8?UGw)gCJ1>kgB08u2r2|KnF8sVhpTizO zqZ8JS_p`N>^^434My6=1n^G_{QD$bd7 zHbT2{XX?k&#e2DLZ3~;b+{!*UZ^VMuD@X4=u`$al_5P-h1GgVbIehX#`@&FDvOG97 zx@Gh81JtLhtIgClMXM~eDdPV4hA(Ai_@{()PqDkP_nRe4{T=7r{zh1vi;dj2{u1hZ zHF67dU@VWleo!0r0-cw$IC-VdR`=x&)6Xp2+2G}ScRhc&vhjG3$2w-sLHCW)=liTm zbkkfqnfI@yH}!Y(n)^SvX6QEOm01lMtQlswq3SgF)3?f3bqaU&QGv-g^Wu8Fh-q3$ ztKp>i5{D=#{S_RE@=i>QtB%v>gDf8%bjzxb4!3>@`rHn1xp~LVxbtV>RQ>4w9kJ9D zD8;)RtNVA1fj#Y^;?`QY^QY#51I)({g;LAm#^8@RJS6#?I6r`s_{%dI zO;il-wYPMB(c&Gw)_s{&MUJ@BeAD%g7f_FAvYbgm~_oSkATo?OI+oYj>p}Vu(&W@cKv0c3F z%K{~TgBC!&oV!05JSwSK)20z))`xAm_u`lxrNMdECbZ~q@$!{lQ`d)NLP$_*li1s% z{MYrFv9a;E`%6C@k(p4q>aKjdl)q zoHOTtv^?A4;h^Zh`GuhC6I?oObQZ z%^volQ^Or*=&$T*kRj=qcyi(?(+8y|i%*Xbwlh0uBz7&KVvz8HR@0k|g54b;TRUwo zGL1^BQ6o|f+fLQ}$j{*@Yd_M7Q`a+0NCtEfus7h?6I$wN$b6$=ylpy1mM`HtkB<2G z`w&`~wI}7Fgs36tKAC%uLo``f8;koI7(9+womh2QDsG;pwV~5LHZakF3CnctGRm47*wcM@%K{+o$$Cc|Y zTMIsbg4QYud$?ttVr$vRKtTuBU2szD4O>f1f>PF--OIohn2n8}(Ekz^+ye!zU+p79 z7$061=&1Eo8{jvGI?H-aQII8e0sm}B_!P711$GbKaZ%qox)H?@R2N5}s6X66iX$NI zAaMt)k`BgE90AYpv{evN907+mwKWUH5%5Y=A>EbY2y9ykb|Q&8n8s;s1wNLzgUBK_ zHxE!C6L-*zW)}KX;todew+(RzZ6f%p_Nl}jR4Q>WqfWVlsR=`O&tKjDLmZys;|Pd5 zh;L3Pkp;*CWC5~3ZCC)}2o&{@JNW*~-LLOf{l2&`DDv<#H=q7pmJ{{iWcd4uJBW>d zhbZyOxQ;y-9AYBwpk+J`ZGz*(IHHGk!Hi?_m~lIHw1_)M+(Dc?i@1ZtNdyVA2clcTgqGgY*KzfpLEBSJDfRUZ9$r z21Nr98e=>6E9nL5PA_1MBRC%M@SS|!mc~N80C5NLjSnTV09k-6Ko+PC3y@xbxP#{L zF^Fh2>kw$3!c0x?^d#aA5_izT7a;DSb=FmySGCUd0^{lzcd#;DA8`laQHsCgGa#dO z;W6haTmXkyxq~+kd~!c)!;~mIA8`l42sM)`ADaR*sFlIMs!NZdh7f0c0} z?jUgoi92YfJX^tf#2x(G+`&_?zwIvm`1dIkM^IfHK~DYQ4pJNeaR-SzNZdgM#Svt( zIu;n-0nvIrtIAL+SbdsxGVipq7E-Bfp3_7N8ChONMr?Ao>y=bkZtgfOt5n7!*q7C% z$3~`cGZF;!Qz%(|KuAINu5Hs2*&3=0$dNsbBC*M#Vm)eiQh4GHR->FJ?qJt&t00=V zgFLH~53IoEL2(2WN09bsj~Zws4E~hxebU~YZ>g+SsVP*!>;>3f9gV(XQ~SEAN|ip9 z;t1+4j=-uH7<9RN;Acx?#KJfN;ttk~Xn@W_79b0d1;_#wEdX%@IrWe`nDFh>zwSGI ze5CZNv+F{4wt2&0(1z2*9pvZVB<`SPHYcV6A!k8!l@9)6MZ&!lM?i4|x^S6ZtJ91#&xYBJ z;t1eTgVTN@))s%eM?uEN&wmj|U^7o)YTt7arq11`kzU{*q8CsScaXS)#2qB=An65a zXo#L?WRYG#r&MI%KoW5WD*?+@%E)qapD%K>%M}}C_7!y|wZ;l?JW_?UrFJDH{Gemm zT(C4xABc;c+fSEfuYhuxjDO08diA4)1 znjgy%Ukvek6dTjz_1UX`jFqz6cV0JrF#Ac82fG9P`Ry}bspD?TZYN(Jb9`sutzR8I zSFir=+Qrkq>bpcYIebZMnDcDkZja`_*L1M&r;?!ITN=%6v;BF8*$-~-E*>s@>rTn- zTU#zXOmp257j*T7=0AQD^n35H?LW_GdaUQ1+Z|tO;T7G#heO_fikJQV?CrB7FP%Ty zbHs{KJq~O+xo+c;&t`bcZc@~G>4PH!Uj4eqciTFw(4RMq8S4}k9`nYC7I%Y3OnZHE zOs}7xUis>4O-fRgL5A3KD@P7lZHV;!_j@kWvY)Z2II4l3x!ld|~~d*Pm@RGWhlAS>sNaPD(mFI^1EgSCPrkC*t^l zfj3qtGZLGR-TB?nRpR8_kQnktiCLJs~rWW*;PC+$}6&D?d=;Fcx-qfP+w1 zipMfyEXue*FF_$yCTMg9z@#v~<&GonAaMtwv0!^?NZi5RTW{|xnI2Mjl(>V$9n8$| zC+;9|2m7aZ$9`fW?jZM+Anu?uaR;mGM}7_s1%>9AfKqAswahRf85)hUEp)aVdqVH@ zG-On!V`97qsuc$4qbiK$RMh#d3#y-Sc*dGdf=pYh#n~OqW4<$qm#b^rBwa}v- zqRG-*;eVxN8o~z{3|drX`x>3ZCeJ#o3@=dgF*XpJ{Oh73RwQ6}Y*MyV zXEuv>U0)-i=9S_ID30K9=h~(?f=b=m6NzUK`d3$@gV^L;(XBm^ieQ8ZaR+S#^L%U; z#Sz$;g}8%ObC_?MN@KH#J6I36gImu&KOiJ2?iFDi0dWVpw^8Ob0d1;_$5ZUKlR zP}M{3;O48FeYUzy9UivedD-@9EDrTj?IKUIyiHL%~maJ z)4(_B;5;gkWlal5>&$gQMpt=K7wK}-;i@@v%@#X1*^qgmC$5i&EP;#(*D>RG8fv<5 zJ4Sfo@h~W69zqktCo%<*&P3cnE}&qjR4vV7b+LI$L!OT1-mp>}fjU#uJH7n-XNn^r ze+9k+6Z)D2$U=Wqul-f}T#6&e)5%ypA4yfI{}8=^nz)0+ z9VG4`aR-SzNZi31oGX#$DWrJ{%T!p^v9V~L!g8QJ(hJxS<%Ak6(hFDz$cZ~>(@ID$ zz?vz9wt81y)>-G=K}ql-w~d#V@5FZzVn!=+?e451?jRV05?O#OKo%ei)QSa2FF<+$ z>wpLjs&s~ogCdx4Af*RneqaRG`#|PHU$L{&4>BJw`;Qxc>tB-KXp~KlB<>(G!OD-b zCBdcT$JylEGLDZ$LFUKV0=Z=zXXD4saGwS;Kh72p%ltUo7+B_;Q-4^-ve<0!yZQOO zBDi&IZXuBIJbV-5>(~Ugp`3)@K3!Te%+aWsl~M6hmWx*-y#VP2py6Qp$N8)Dx$64G z9jr{(N8CZKX=T7C`YZHf&p@usj}3zDLO%wpHr&A%<}xi_2z#apHdW#df)Q#aRlyxR zk+$aP6(2p=H)&d`{fvUbHzw{NaR-SzXy(-3f(Bg@RJE%WT z+(Crb8WSz>+J(_aVqBg}-7(td%lP(@_G5b&zu<`v5&VgSKZEux@|_n3lvI4ABgkog z>A;bt3qSAB=def6=!CW7{e%Qn*l&}JOwm>gE7#;<`MNRZQZKnBr1t)O_Kj05M>a3< zc-V6||IEUk$DB!LBeW}brhY74yqEjdwy?R&t?YyIMl5K(a`fI48?(Gp?{E4zaQm^8 z!zUlKFAOy$%Y##+TQ)yGKz+Kp+DvUzw8~POBJPiG_!4mk&5G8>VB-#Ox$y@yCdOUI ziTgQKzm?N91xoQhYXNb~k;7u(nD+2X89R-b8254-S@ZEjVb5~-4b30VI0?^=dvC%o zWc-nhDXD0_68+DZ4J*lo5E<7!{cA0PMA1m+2h;y2h`|jOghXEZ1coW4SK& zo3=?q{X%zVxt$$5Gh(}V+m{7O-d*4e9Ov#229HW=*0gEFnDt>>?!7o>M`>{0wFxac zT)cec*VOePnIN%GZ4!HXl>fRuGd4CJcYo=JBQg^TSKXCwmmKQd@}*@v^Y1!`Zc>fQ z-`H-=%snk0826PV4?pst32_Ij|O@8zzliB&t#2F!5ZQYs@{3!X^%bA?~2?Q6u!J z6h~lv0#h7;&6Ahn2Lk<)5O)wQM2Rdw79b0d1!}_rq!%FWAn663V8_oK{Y`oS zl&AEEj1m{@^J2^{%2{xkxP#!6XkJxIgCKpA1x$xG#?; zvQ4Aq@*xVnVW5Fk4OHiAa@ZI)OQE(b_EpO*3;(51ijV&Xi~SSlDYW4ZZjA4D|F@SE zJ@E3o*o_i3=;nIPWu;4B$%_(+)5}g#Oe$=o^tcufatCMS6>->?g=!0%W&h%c6Y{I6 zCr|X55_$jqe|P$z+4Gq^n*{-q>oV@N`ew-)Ha+ux_uyvxf?s%U(C@$aNOz9EvaEfi zSLD}?;})eX(#`3(d2R9emCwEw_D0{$5ne5qUv2ij$m;PmaZ&S-I%^?}?vHTNkrG^W@2|JB&J(Tc{hbINO*h ze*J^xGj%Wd3_NCXeR{>Ib#_d$gFv* zZY%xPw9B+L^PX}u?A!jZ)zhyGnbjqz-Oa8? zLXXVKv3oms|I@|pg){6&tT%m@6ZP_fd&5R|m@Av6XxmI_UwZSyWu3jEHSfIspz!k9 zlJSnWN(x@tb;oq>#k(;hF7`Buk4UnPuPc7K({h99=biU&MZNAT#3D`cvJ)9SM51W8 zScvvw9L+umxFJcbP9asM56jTAC_s@ojD_7Dz}`p%!%hh!#-fZ1?1zF08XeL~h&xE! zL8-*c%vyv-vL9x?MTd-uxP!zUw2-}sJ7^yfLEJ%3(TaF0;tpQ@;LZ1^Ega-hdTYag zrB|^J#nU%Sj|Dcl%k_8KfUQ=4ia~exPxg{Wj=8S6|DZT%^~!u z#2qB=;N$vK@g%Wv@{^9nNh;KXlpq|UfgFquVv}o{6HcFq>G{Th2{=4O z+(GWm34M?S$O2>mvOuj_0OAO8>mhe=%#=Gj8o&O|@Z)ao1)B9s$E>vL+lIJ<#2tjr z5!?#MN5oMTvd;~BVQ3SmNDyc`4BbrXmE#t6z6lC0nCJ*G6@lq6U%eYSina5ei!!lk5H2E0Fc zBAF;pq!bz8Ume8}m>URjQp@=(iX-@6;|>naK6oPM)croB7x;(h1$4w6B<>(_2d#tT zq!+N7g7gCa)z}v41xPROxMN$y9VG4`aR+Um!K4=;?%-cg9pU7jsOE>zn7#!DR;`B^ z8$Ax#lU_intaI+*n|o3Q#@;>qP^cFm?jXEKrbHGX3y=lK0<~fR(hCrG&^oY-gTpjW zAH3H}2>ug)$7euB9Te)S5Lbu#DU`rq;EfQM1{YpD;(e$4FFA)MWj>en$Am-YyDm5;&sX+X^vc3FvSyfCyxJh~ZT5bE zJURN{{e$ZVD!zzG`FKl;kVr(_!M0{b9=z6MKwyg$ButEdMxMc-QCr9^3cXCDR|xffAPKn-4^uXkC`xTHi_F8NK=Qc@0!64A2KtJjcdzR2qr1xtdTy<|Pur z|K`NFX{9K{0|b@jyl^v#n^^S4Se$XUmQIlB6o$ewq+lTw<9)LL zDTsA0D@5EuFk%>F>7mX2RKy)5?x3hB(em6R?jUgoof!a7_D}JS{lrAvL44l86UQme z0x$&loP<%qmIFXa#9#?bNz*y-wXvP$E}C-GKPhg*cZX*B&Ar`Z!Aax#ue-O!-X}&` zU=|5GJHln$CrmSq9a{qJ-2YvA0M0uj?~oW8-o6mwq@R zGof(RUHNv&q24WDTDCL)u5;)n)wukP?T9-_+(8?fpryBk_kbhzNjn7y`g)!=C9%V0 zEjJ#@AwC=vV_PoCpwU{(?FtZ-^AdDiV=WzBYrzLl(Asqr_Hbc#1g=wTEgKmq=-|2w zPKv!@YpF?4%Gz)AGOz^(Bq-u%vc{(19w=!2Y9ATS;)^8*umye924J15v#jT=jyw3p z*)!4oPA2!GID+cp2=w)bJ4kT^#2qB=AaMs3GMz@R$u#^$HWm-GA?_e?2P=&?Q9*}= z;s_{?;4dhEb<*+By+p}x1EUkg5l|cf#Sw6k1C@GK4rzzs6>!Ti_*25Sj<9xdtX8Qh zRKZvYR>jfiD>k*StE#{cbBZI#Nwd9o_-7 zkp;*CWC5}OS)isZ0C5ERddM9VKe*8UC5NuB)tIX1#F+e#2qB=;9pQ3Rq0cS zJ6I36gF9unznJiuDqE-*AnssIkB-s#$O2>mvH)3tw*ct{h&xE!L7JzK<|)*c*8}|7 zI_U*SFJJ-8AxsW&5D1V%YF8J z*!0Z%-GiI$3x46bLBIdvBi%Xv%Ch#6UXfonj$4$nNH?eB=C#G=S3dh%*c*K}M|ibd zezn>Al22m;wcY`qOIkckbQzO3qp)~D;2-v_dU&=Q)@gB~7AP`!t%*BG+(A%n!czrj zc*evu7BEjZkctHY$aq`Heib=>#2u6{{$NJp4tkk^jbIA90L%R2(5OVlu|*u1YHFMX zOf`AyyJ7!X(YEcd10KJN1D?9(t#sO7k=KM&tZ?C(FtqE`&9=L zGcrY6EnjEyuw1V(=Ta}ZC8YNLefEu0Ek`yl@p#yCIRB(V;_;kGXCt&Lccy+UUA&k3 z*0!*@%dPB#^F}Oay>j&46C1OZh`GuhC6I?oObQZ%^volQ^Or*=&$T*kRj=qcyi(? z(+8y|i%*XbdObV%ac|cWDh3HJDC5{qqf1j1; zAO`4xC&&1fJ0jeCCTuOAL*}9M&{`CFd?Uv&AsHHt65+{?J>kiH8Zr;7WV~&l%$6@< z>_g0j5gYv>v_C7s*+Z$&n^AaLKr;`~WMOSA@IO@xk;nszV=4#riwB&GwcHjcXNCWH z*+2r#sAp@z8&J?n*7LS0NZdhD4RHs@ezARP)Sled6h}~99Krbd!yU|{I0A|zpg01G zBOvZz4a9l~HLqSqMr?Ag!@v`cOyy}5iA@d_>oJryNadiutexN&iX)&ng0eV@N<&RJ zfSMpyi@1XZRz-0H6h}bZ!J&@{LdFRJh&x!{xPzjU-EW=`DxT@Oa{T_@z7r1a#}OJa z;09g0JFAF0Xnwgx|Bwa90%QTQKrL7R;t0mqL+;>vr<+Me$Lr?*arxlRL;5}cigJ-& zA?_e?ylR-^MchH+4l2{)SbYvmgZ5`}1LgNq90A15A_;=PHcs`0FsId<4pT-fW7JS@0&rkWy@3Y5EG(GslxT4+8?|5}4#Su&i zTGDWA>Fhnpul~AoR?*Rg@$2k{4xG0CqsCu&TwmSuEnoe(kr$UWXWL8Kf405(hV+Y? zE&U{ow_W)*S0{TdWo69DJ@+E6y6annjJV83y?iyb(GAhQ^~YwVA8|Wf+*8%y!iz_| z?{ud)0*WK>vXRPVXkeySiL+S_51v2DdGj$(coMj3h*Fz{y}yRY!3I zXbB0$5ljgZi)ws|aEc>9vr-%Z#Su)whA>TQ32*72;vM^m>FNh>zCUf@AeYix8wM=B zDvYKnJr>yHE+-yu1KxZ^{N)*qCMpK^+FLrmXz`9->%Pn_c3$fCs5m0@#IsR%-YD*N z=A6&kpM?5uVQ&yL(;`Na#2O6X>hvO}X?ejm;A^$4`5IZ&-UlJz8{@t~nJr@cqP1G3 zLMAmRG;01FF;dWRyUW>3X`a$BP^~vefpd#m@ZhRX9nK$2aRlS?H?~_db5Dy0#(gEp z!;d_mI09@t6i1*i6j~z=It2(K9XxF!4p6ROEjJ#@Swk3X%O$~!J8QXJ!g5{}!)~nw zA3#BC*U?u{5aKsv8nv308LVX^14SZVktH$ig0e}hT5c^h2})UmhP(`HfjMXcKa({! z1#2jd;L^kwo!%YvWh06ss4kA6fZ_-a8^vOiYejWy?Gx373fHDad$Eb)2q=z#;s_{? zfZ_;ha1srnm-R3<6q}mYg|FwO>NfLiyBnK`Or;S32q}KTfVrk*~=0je<#}QvH)3tEKs`^fH;DJ zdKgDAZ&Hq8_tM{rMr>NX{iDuT4;3x*=tFS?6h}aD1URZ~g&y(?L#1kI7ORVeeR(>T zoAK3*I*XRehX9FeAjIDfG{+3YuvrSVWwEbXZdu^yXZ$!AdYng)lHk)7G7`|_+%gWk zM?uDINV|mLGxIVw%>r&2_h}#_mL1E-LqJZxA~obJSk}RRtVl1|tA{n*u7DCsQ9A6&;I`zOx8q&|{HK6(WWgTtS2gUf z(&thfL7q;=>Z^5pypI6)VD@7OXXO1DQj~ES{ZB4r-j5}6%h+UnxMkee4KnY?@VvYq zYYof1ALIQV`mQL*ydTSeWjqG`7;4We^J9ZxyU>rpDxTKL7g!ix_~4H($4@4`z&}JU zP)OWC(hHDYfb;^S7a+X==>?Rr*{m#w)zuD+k(V!!UZ87uL>g}mopd~i>BHqpC2((6K z9Z(_*kOjyBWC5~3O=ebM!bVDuYk@!I4toB0?47CeM@TjX zuATU%==;*a*AgBQckt&QmrwuswYXly9kk9ntA>s$FIr?=RE2S@lFgDD%=5l7jiFu6 z6Bck4=r@sB!pNY+VJLwbCQQJlu}neBp!awLd#qF8HWn~XVl8l#^jM%JK`_{(@7KAyzDBk+_->eQ?Mb_(_ z2VYvYGykr0=q43$2hl+?EVCnsz#L)cUFoECQmf zEJIO{C3XQD5+s{Af{0G12I(KHUqNvM)x{A!SAV#J6h}bZ!SedJ#zv<~@lUnSgyf~A zC<+4&mY>l@Z1St9!FqBPDbu(%GP;UQP3o{N@vI?yfWe?e!}!+q72*YIK2-=iYyS#a z!9?M)N!e1J*(~05eT{^gS1%(YHo4bfgW;5>o<@<__zlGo zbPg9rBUR~BDULv?gweA)<_`Kyot}NV_X^Yt@Noog%^5AeK%hhxAPbNM$O2@6nzjJM z5jApLvpZEQ!=p19no!>q=`_-;p#2qA#7sU|}chKwti92W$;Y4vy*eVe4 z)&nvRgv0edkTL!U6aKjB2btG>;(7vPVO$f%5m?lo0mCu?(3#Kb(#oxe%k)~EW}JDJ z(ry$-0L`a1xq~x5ch0;0ZA3rP3sln=$>LfgBA^a52r zYQSR_cyQ%NsScX|OUcQDfF)d?X!*Lw-|0>mAJmob#c0%QTQ09l|`EI@hz z;tpCTVnX;yXUIJ2KAvgGF=~W2ca2ZQ_6t+ zH|8m{;SNsDEb4Qp^K&imd@jTt1S`}?`cv+pVfdG4c6Mm@=D*oXzekC zYJ`WitorD1egbVhvR*sDixeIx%eeDriOo|Q@^oe}ts|D20;PDxvK2e)7z2CSgYel} z3wQq1Pzz}mt25)NhC-?3aAWYtGfu*@q9a@VxQV1_Vy_M zb$w=RY&`D%(ho;uCKRr^E8i|T)Vn2d2Spvgiu@Z?;tm3LF++o7JuUQ*5~Mof4%P^F z@S{%yzh2yNXeh-IR2N4uvHoxeDUN`+gTx)Ik`PARL20EbMv5b-)GR{HE5#8|96{wb z55*A(Lz3dkd~Acd!!;DY5J7vO7E;tqllD3Jxo0%QTQK&@H;;s_?zL+)Uz2oQL00mw8a+)emJjH1UdGQW9 zibs%8Q;2ddlwQI4H;Bk=ItoI z!LqnL3Nm6XaXAAr9)st91~Q(9M_%%E90c1?&cttrMLeyQFW@&a+%qa--b~U9{6q8t z&l7i$xP!zUtZGKFvau}U4hjePNH0Ko0e&z%Jid}C`DmWPN{k|$hP6^g7I6oOJ4oC? z;tp~Tmr6Y==R|0pLYk*=yhfLkR$U|EyJ--FR44NkTHgyC7`^9G8~rN|LcIWS2l34b zC9(infGj{3s0|B{UVylR)&UXX4zlb(b*83wdXkwlkRBVEmZE2M`m{kn2WcNCRV&yU zz|`4CbXy&H^v5op53gBP^5|5`wIjU;4R^dzf!77YUmOg94`=6s*ZI-1>W9tDXq;%d ze27AC7zpU`fo8^F44b7;TNeAO<(7pwgyE zaj-yc8OPc9aWmYffy|Gy#ltc`&Nc>?`Ej=Xu*{FM;dk@nY!R@G>v;YU$d!$=kzRoG z0{@G8f#>TNcd#;DUj;vAc0`Ibs2YBeY4~Yo1S^UdvLRT!7n^F z==WcIq&vr7S=K(%EAs2caf?zG>E?9YyterK%4c5-d!z5>2(OmQuQq#M@@Z_K);qv+ zNsEVxE@Sd$6c!H%{KLLg56^bPIxSArawwi~c+l=&@Aa~0H-|==fm2J~%r0I1Y44aQ zzo5c#u@e(>A{OPWe%rCZ+F?uAX6Ii!^zOw%r+t@0n+{%cb5!_sKlg1tuYV`EUz+H6 z*Xf;B`_Ao(-?ilUh_lD;g=X~m_M%GRm_4LjK<;me$9DVpjehU+#{TzvOj~6)^^1=? z-Fd69VfdC`4lMfhqiO9v9n~QCY_@-=O#N88crW*GX$zaX+{!*UZ^VMuD@X4=u`$al_5P-h1GgVbIehX# z`@&FDvOG97x@Gh81JtK&uNzkl?qh13qE(jK6eU_})9|It4F8mn?kRRR_I|TuslVf# z+usOlbFq=z)?Y%MuSRZpQNq=xADm3RK0HhB5oUC$q`Y&;(1 zv5r}D(0!xy`97->-87d@=KX8wP5s@x=Kc?^8M@7RWmba*Ylazas5%Y)^sVw$ox(LS z{K3gMTYokG1u7kJ2hB=Ykj zY)XDB?9SCkBTjs;4jAkd-sRBQ3zxqA?EXuw(#FOHuly>t_?brSJbg~2cMELSGTdRC z#FGk!Ih}P>_RfZBCv}smqPg)thraGYykYkz{J;bJ9yIx6! zKtfd}>#SovPpS?Tcsh-%z&|}PtC%4&U>ZN8i`e8>ho%uQu_%s!;t2j!w@2K;G)@Fe zaRd}c@Yf!J0v}7o{LC+lQoBh%+T45Z4TXROsy+fc6vH)3tEI<|@3)H9uAdX;CJ>(8{ePvqwkWUg< zUT7IOsPMb|LHU!vT|wMI;tmpbkhp_p|3`5I6h}aD1Z87k)x|w&WO?`sLZ8-OVHl8? zk!Dfq@iYE%s{wy?JzS>O>NMlbvaxOyM*!Z4kDtQd?op8Wc&H3m=HsFME_ZP5jBU|8g)~ngk7l$T#i@F1i@1Zt9VG6c?cE~OV3A(HIx!z{2Z=lQr}{;{n})%U zTIY(AM*BBkx4`$!hir`_NPXeQv|IDT?g{k*#2rKnQ6dYF1;_$qf!eSD z=>>>8Xa&R(chDM(R%;zU%Qzcx2f>*UchF|cUna^EDMbeOR|h^x4mndK<+jP8q+X;2 zoTHxn)&H))N}oHqesKpY)AbQ|5PTc{j{lo_0UPe%@^R06P&hpQD4x&7Zj`7&H`jA6 zD_#0Z0NdmAvQre33L7ast_8|*2X9#5Nek5$c+! zWgpTOk45kC2=-vbFfolY@(c!zI$aBOiq)vqtjv&Zh5$0&A)1VFuo@Q7Hy?yy(Yh?X z`4q?nWPrVe)xgB~3r+zbSefuFWhlWj@b@6A6%*qtI0OMImai-;{421{d@W@~j5m(l zC9*PBkTE`#DZHdX_)2q3gboXx~T zC?NxUiHmJ>H+w{`DLaOMd2$COkw z-*T@T+ga}QDM$U2;x>GDXr|xX+f5dnG_L=;d)xB&y70cYE?dr5_AhYdKWQW19$?+(TVxO-ZS8s+-fx2w7z`8kxf_9LA*NZ2jmXY;tKtXH2jJSi)|EdMr=&(#Ky@LV+)@MGZXG&2cv`77RGA>+^!4guph70$!O4@IGPDHJk4(LBWwP#gg?2TYG3 zCBY{)32ZKMZW)_V6lC0nvttHw%P5j5;FfWp1~T8Q;$ayDG}yNt0~x22#PjrrjAd~< z3L&t0;&KGHj^_`7jOXEpSb4z&aR<%*j`ww$U~nCH!U&tKTG*xm&!_{xse&j3T+@PQ z)R}!J3qBMh7WA+cV;5wwtb&qi$XVu6<@f5%Q4Bix4k`HHbT}@9+mdfCk1-Ag^SRY< z2EA3c$2TIlj^+l1>mYG7U;IYgCpHN3SC$(EZwkz456lwCcwhxSkDJxPLsX<9HY*+>aU0hXXXY9eZYYJOt62zZWnn z<^dn96AtcRS*#ak906--pDt(?^{o)Q*rt2f_Am_*are0tH9Bz#xIMs95 zxm}|Nt};Is?Z#Bb9YhHhrN^^CIqu*rT@jBS%(f7D!WK4Z6c2%^$;UTVhsj>&FV!=(@Ok;mNmlxC1#Iu5MC_ddmwzz z#P~&PwMvCdYEWp@{5fKzdX{mQvzgL7rD332Z;+~GNMU1wt3Gu&e=q{wx8vJjfZ*(=S-O(>%t~v9;f{O&aPKx;xA5?AVzR+r`_y zEKu?{C<>pQyFVB_Dydo1rV(S-hi$p{;+P$!!FksvwCHg0@|9mx*N0@nE56hwvA0M0 zuj?~oW8-o6mwq@RGof(RUHNtiaR;Nof*l~^TSrX99kc-yS}4y}lwqd;0U6O2d59Vx zYq{}I4hg-O%ApaF^=vJ-D?m`rO90H~Fx}RI51^nGjVL5*m%+HLWg`OxU~PB7No{a~ zlc1FKX7@6%1!l-2e%20m(CbHe^Dd3sXeo}Mx;O$;{oxK$9073$i91-Pc(t87S-`|< zWONmqnpEV+0NhrojLl|cIjk-{HZqO-p;v>FY>vMa&l?JT@s?sxzC#yRNU1Q1eRMLE;WRuIAOveZI)iE>~=n*+0Rt zf5#(6Mr?Agco#nrHkZK1HmJg_J&}3{{i|zT!onzyfZ_=L9M_4D8t4fy_*25Su5Mjc ztJD;#VDMo;dtl3tFFEU23DF57I6oa%DRdpu<8Z6toV8H z3vC8(z!&R$9073$!5Eat0%QTQ09l|`EC6u?_VtiEIBWdQpU3u0iG6O{yUk}7u&<{m zE~tn*h`M=9JVc3K#&zt$;J74lyeN)9r^y2Di+PG&!HlN^)%ltnHipeos4a_q)pE-M zH(MG%4u;K&xP!Vhi(VjLScaahX0Qr|=j}SJjy(Efm(GXREGv0*D&^Xd-h+la-XMQP z{>ma`L;qYOaZeOS@V~|#4E=e{%97zZw@5EgjSF_FM|y#qB=AaMtYJ4oC?;ttlJ z$c4Cr#2qYCVbMH=`o9hfBfS9W1xPQz%K_8=q8A~(z<7-=r`(jMysWdX^a7%k-EW=` zDxT?@pYZliNggqILcIWS2l34{C9(infGj{3s0|B{UVylRW)>gDU*ot|XUKfiEP?`v zJILzvX@gj)x_z8ftzeaDajZTEqFw)NIJx}(aG74K(~KkTAaMt2URBaJ{Vh&v`aFmF z#T~3n*GJqzcx>YD_zcLX!NN=Z81od`a0f&B&fKt~T{y=b990>2a1@-L(&Jg69Cwh1 z4yr9|mYu6gJpVOl?>o7pzH4>ZaeL#iQ(=R6HcJ|O(O~!KZKF2qULW%7i1(MR$sN&g za<>rYyx+79yS*R1vA}pa`K#9VCtNaZox0pFa^1p#nGe-LUd9!6r}`f#8q#U>o(WGK zOI9x#Dz4$lVe~rf91LBxdrkJgml?OTFZlklOqA**8wL9NE0Y<6+O? z{KE=+9&;w0jnJ;#nfkGG@m}uX(iS#%xs`oz-iQUQSB~C$Vq=z9>itb02W~%>a`@zf z_JyIQWO;CEbj#-F2dGcOG5Br(bA>duP0=b#ZHhSPB5?;fMJxK;4&b}-oeCz#UB_B> zag%CW!B$hC6fe~Wtet%b`fkSOpJTExw*~94~0F;Axwlno^cYM9rxY@ zXKv-&70tI?ts3XsnYtFinWqGaMbosFX4yZ*JN6UP)eqi$f7-%9E~U3N3|M*HMO_J9@4AGPl@ysoSICh|m+yM%{U%xZ9a? zK5Kufsx=aKu+kfpxP$j!YLzxNHhAS%sm0GUYUk;5BE4H+yO!Y&+Z?A|J9D##edyG1 zhZ*`SyBcIjIwqc+c*^ua>B-{LBZTeD4xFDI&VK+2Z#2fy9Et^gy zo4A7-8$jWnK!J69WXREq(G;W)U<>-H4Xh5Rsn!RBC`csoF}FJNKNxXh)eCgfWsJBq z#_@ZKBd9KpU|Rj*4pJNeaR-SzSf*7Y?w~cKqB`*}FHj@yV3nFz;tmpbkhp_?E{G=X zU^($G#Sv8LQzcbkZl4I4a%A~_l9SP1Tv_k&iPVO;gEp%vXwh{^fmRSK1)v>7RC_}cMvQ@i7Y@CAPbNMYQ+K&MR*!JXp~Kle1aW6iX)&n0*WJ`I0A|z zsG5TcQ&Vwsjq>w>aOsxWRDRX4fZ zHoO#x+%i65aqJ$;V(tbRrCeAZ&x;Z${EglkG7jwH_5#RQ7Pm)12Iba&6Gvd97r31s zv2#+%OmET){6q8t(}_Ds+(F_FS_jEVFJLtV=>^iPlOg^^`Vq}j$d7#zcaXS)Wh$)d zTnXs~_YlgY!R@G z>v;YU$ao&yhY7c1Y64jTncqHLS~AShsF{^fQTm}}mC9I*?`3thbU|sJ!hGlfXw@`zsntb);-4W@WB5p!SlJ; zJyRKX5Km3%@hnir9h^13h^G$fEX17H)$6XO=N>q7daYDsntS-;y*}3;@E|9Ae7$nC z?b$h-{QKM%Z+|Up`{H+xc5m&pvc>sxvz#MN_w(^OE&lCH$ekYSuAgs>dezt4?^a-E zui!B%@33OmR=E)$hLp7MfA970&*opB^Zc4uzwfu^_~fr=wCLR1sfp-Yuhf7T4#g7= z58D0fy47gRVdc4A^q#G;(lZ#y4Bzt0fknT5G_BpIqZ$OC&GyffZ7=;O zDrob|{eJ#&`ShP(i|aKdXi3AdrL*@WzxwOWSw%+|#;>y*I&j+lj~ajBaeZ~qw|w>E zMqXUjoNX^@|JnBD8`3Xow)B%U-gf2NT%GK-l$9|n_uPxP>aK4QGU75D_43u!MmI$J z)*qXde#Gr`aZeR-2Z=jqxtkf{4ib0JAqpA;aR)7TgowC44G0`9aLoneS3OK+vysal9c9#{qv<7tBlj0c>HwcHjc=V>V>V!Uk1C23h! zZY_8N3VQJci948FE8M|HNBUnMy0q_3iX*5lj-aUia0e-lpfYj{cn+JF^IXJF4bJb) zX$Iv=WfP-^*c5E{rvn7FR)-2aoyJBdvB|RzYs4!l{fsVRlV4p{L?;~&$klMTOpT1L zVpEektV=v=2p?cDXt6TBb$x|+ff{iKZPbiH%`0&Si91N#LE;WRb}$nE^m8#v#3t{G zPn_Dt+F3)V!ef)Nr8@KD#<_~eP3_i%>mmt#D#Z~{9KqxIRPiLSaq^SCi^{t94n_yD z$+?ccpYNvCId|~T%MI51H%fg2^#Wo>D{}4btRn6p_cn??$O2>mvH)42#w`GG1WY~T z4(|O)wslRkt9Qt(Pp&SX(|p9|$#dky9VA_D4eN4=JE+drWA}D3T zn+UZ`=)W=W1p{O-<90q6hF`{j8$1p(o(D6Q!5@ZGDUN`f9hR4C{lDi9esl3{X)o=J z9MTK?L-YbOh&xE!LE;WtVSBdR76B8B^aA0POn^gr0n!Up3Y8=7AaMtYJE&B!deRHX z*nnugo>gW1Epw1wKvfx1j<|z1PhR2oO51V654m)k!c)^R)x6Yj^1=X)aKZ=@F>y?`<;j@9QFG}`idfInL&y?_SL zYRDSYiAXQ-MEq6yJg54_9jr{(N8CZ~F;)}Y!I8~>Y@2&rm1ce{5_b?RTO+AD?%=+n ziPOJqw|G>D&yv0?3yX|_N8*EtJ4oC?g;bet)e830=u{ENmQsV`=lj2mi>fe=RkB%9 zgPGUDV1aqU0lprx3**F1*=^l>6CO_d`^kdu09%Z;(K+#V5jgdht6KO^zCQF9mFRGaR=3`%wTqk z*e8DwAV4GfX5<+R8nv0o2$54VjoPMcWS~goi#CMBxC_cAvFb9|;v^_#b;4c-w!jQ| z#LwE{4u&;v^-R-)2PRS+L3MEiGwTm`km3l4JE)*I0*WJ$=`?yxrXlUGiGO*48pRRV zs2PQtSKB(ELfP z!JtrQ>AB!_ewHphzfkFPU1l_nfO9j@tPO}^|Bt;h0f?&l{`dgHV*q7GL?jVhKoP-x zDP?irWl>BN2j&4IFf+~!2$jo>iUMwlW$u;>xl@^2+E25@a>F!HOwH8H)ZB8f{GT&3 zZ+NU}f#BbB>pE|_Z{fWA&b#m3@A=$#sZ2lFQzp?*&^U#}9VESgzVE+Q`>W_VmbHsJ zSe~x0j33iEB7={4CV%uF9Um9}k4-xqZ`BQck?V!{6<@w=xfIyJLc-ss%f zS(X8(dpS9r68>4_{YPhZ$M1JWe&p=va;LGsgV*R}N8c>#rsD$kcFJzl=!=hkJ)U}V z)`V4`{@QER50lPMZ`7{abXUR84v`+g2L6g4RJi?X9TwSlG^;1?J0<&*g#48Ux&;Ti zc&3jHc_%b6V1DAt&&=zr9=v#ULhALyJ1?eN?7Q5uLH|{^NBZ4#vE9=3<`s$Q;!yK@ z7N0lWcXr319UuHK?9B1|En~X>d@)&So-m+=$GAU3kMDAF8TG~K^}Qc-p1Q(h%J*Nl z{o~X0x_+A;emDQ|S5sRY7+J^bOoCfn?AH9Rh&xE!K_sn2ha^d36Ghe{QxW3^VOEd9 zdm6DUj`)>1l#OMjsjQ@rSSCqgl}uA_dCXX#zW93R2YTk0>6yyP)IrL4l@7It`P|c+=YH0dJ$vMqaWR64~vqnYT_g8Sb8K_oVAk z?s0`Jn^_aj1SpnokNjG^V2|P9;scA@-$^()XV|=E%SY`wxjx<@^1+6$8*e=xakTJJ ztMr!IaEVuBP!soaePpL$8*KbwE|aD-&sd>9n~YHX+0-Wo?~4p)gCiHn<|!R4h2hJc@@$uyO)JXTUT4w$d-hj>Hzf2Td90(sjqkuRa1b#>lU>FuW}@jCYJ&o`j7f^R7@+PebM)qKso-nN$sp zQt4Z4h&)*#mqAm?0IfF1SKhr)|BNjuyCk4p2g}oRbiD!cc$JaCm)uAou!G{582eax za*UiSHwffe#&VmWoV~u7fN>~ME=<9)#R$GzP|$%Z=vboQ5V2CKPB-qdtq1Q6OCA~< ziNZB5jt8l^M=xm-tMc$p>(s#~DwM4P;jtts$yH+~)OwYL zKE1GWD5H<7UjhEs*~{`U(+uu);y5 z2S{b({Gjqu90A1OY@UxL?jVf&}#qBsIpkt9z~h8KK%;F)Wjh1ymxy1s^`tBf}d-Z}88t7n>;m8syHnF>#fxP!HT zJJ{K5U{3Rpi@i`UK-@tv0wuBlS%54+7N}MWKpcTpE#wZ~uk+zb=NkgAz&q^5S<-34 z!xk=TFbNDH2ED%om{(HJ?4x#H4eI@55bUv_?#DO)ek?#N(6o}E1TwW)*b1Wq#D--U zHK2k>0J)B&MR5fB{!|oOwu6jfNlYk1=nR_H2jOU5xgrZL@i|2(Im*Y0L zjGM;41-HYD=Ybi^;Blr9caXS)#2o}LNZdhi2RffntNj(l5xi>L!MOJu9&BS5c#iY} zFNt0to4A9-9VG4`aR-SzSOxMQ8mBPI!0Jkdft5)wKzaev3q%=v;Gb~}SkeoWAj3})wH=DrI|?mcdRhUR7x=!-PX1?7I2mn#?u{+20%wDOx`N++U%G zrsf9kk1H%Z??3YRxO8Qo1qqrs;m4bo&QLCN>U&&k-Fn%nToWc-^k-)8dpD<~{n1}i z_rQe-r`wL)AM(j(TU*`OaJnfY+PG+HxW)DTrdVDRfCM~J1?fZ zW~1J>^-0s#9}dWD@7dyZhk}*`nTaN!dF^kVWt%?Tbl6($p~S#N^X?BGrI;N%RqE3) z$u$4=-X-lEg5;ln{3!kMne6fAcNV98xZ|Go?ECkEhh6Nd6&8r%f5^>h-FB&3`}_6> zcLG0l=JT;8JD3PG08xIA)#$3mD5iqu;xGy5JF|gVn?2Woo)TlB-EXr6xvk#aq zL*z;|U`;CF#mHbIu5rRxC8zgblh}B%TE}Z)5I;1BU%;BjT}5096ALAdLJ5?&VuE9t zdg~y3=sljo8cw{+)GrHC(L(?kMTxWkQG!MA_aL|i z6XVR=gt&viT7*loAFP88852`iAy%qbtSHF95jp69j8LdcLtY`rWVu8dmmZv=Rzs9t zP;4x#QZa7c!2lJ4Hw#~n(i3_NBWR>szQ#xM@M;eP#U{uljL17otpp^wegin{+l_dP z9_v1ATDV+aqWH{AJc{d^W=zIUdjB`2hu;59%G>Gt?J^&q#YOhQ&F_3O;95dnUG#sj zZ(SA`oRlxjiw|$?m8?3~E${BW>}lTV$K+csJ!|P(q>y>6S-FBa{JTKgq0Qa> zSHI)mpSnGv@*5Hg!Wjz#aHPCDG!P09cMyz#iMWF)Z!Es8x|iba_UO90(p5_ zSbZzGZT|zGmaJj|!DK@f!`K4Gl7sZCo`FjDBW#JyHB5}PlGV#pf%u0Gx=E>rh+i?& z&d+!d^tt}vyE(_s*m8Rb0ghdYvKZ(!8A@@lwMO@E9t_*Jf)h8Mg)O&h;tm=d5ndcF zVK@nK2RUmPf2RjcGr0FTjNZMQZrCDj>C$pnyv><0GXk~>x12~z;+nT<@(!={4ZYq<#FU%?>GnvigXRRJJKz;`}Fno$39rRcUatz z^cD9cTSbSvHCec1d+I&QmK&1Crmk2(`8~MGRAl$HSLGM1&D{ z(1=h2YY=x(N0g&Df(jA0P)Qhkm2j@9TbHJgurlDls&rgX zd}5)N1_MSg;WTD^w$~S4bls|Bzl2a#2qB=An652FF<+$(hHDY;DroMs{_lGJEj)t1%z7r8tPl) zj{|F6lbw9T9VG7HKjS)4MnQT3BZjeJpGw@pTEHE=KC`j!pVo!HU^pvr2f+xG$O2>m zvH)42S}j0&0pbq6y8UmY7a+X==>=$-E3WcU=^A8`l42vw6R;SOHu*ZVD3vk7lyOxf%7#_}DXHhkN{oCC8EcaXS) zEDBfrbR0uuq7ZjbAaFL^l#C;OWe#OyS!pUO=_8g&l2|3v)JR3t^SbzD%5oAXg2!~^ z_UF)7CRGFeQTi4eA_tswuslsd9U?K?T89Z$)k! z<#b|Dt1hNvx@EmPkbuL0hOP}KeTeTV1g9P#gd)XsC%kk#W|O5^kN z$I<^w+(F_Fie=(>R;i<`&jt z-Ic>LTxQ>OomZ$?d%lxTX~rm@H)@>bS4o`KcF2G|PxcfFrYiKi?j7M6@{RV||33M0 zYED0^{5$LVEWXCS3-XUQcD-k~3!1|omJPZ*z1}<0{;%)JpPR8@+v~X}#${P9wt1Qr z(DLM)fq!hy>R5EvY4weY?hWD&;@%aTQu=A z+hRWTdeQC9rY)!VnN3&yvZGFns7+|$JEye&%P-72J&a$^OdvSH1mhMU;f+=a#X1mH z`$IOuqOE|Yr;y87nOcR&Qs>ghQe!)kxP!zUR0Hc=7H`BY3Ooe@C#Xz@RDwGg*&+Hs z;KiMV6h}~59Kr0`!yTkJ0^$x5cd!@55l|cf#Su^(0mTvUhdG2nM8p#K#E1hO8b;u# z!YUG$uH(w}Anssl3E@0`)J2InaR-SzSTVem;t0y#Gl?;t%*651 z+kqPl8f}8p*rSKG!i>B!%(xsIT2m;FfZ_-!j)39_z!zXbpFnX0dZ~lQ;24!oG8kuxtZ;QBt#2wU)U`cubBTwvK+Wj|1QEMFIp>)*!#eD|o-etO_)~YKTZx z^6qI_PP>xutoE8o6;P*k09BCmY+ATn zU!wTTOg!|@KrEselkt-t7&fJc9vC($Z>R6K%Y1wm7ugFpzw^z2YYBOEOS8!EX@pNw z@!^fVl2zxr<=x$vJ7Ktr zJicD&&R7_ttp$v&UY;1u$rVLrfl%l($oM$M#0Uq9r836U8;r!blq&9L*bzSS!{8xr zIEWxDqd@;>YN{H!M%+Qf&1D#%i**;q#kfUycZx8%wdcYIi`~p;-Mzp)J@I|+Vm+I@ zhb=9yb=ma3$UvRz1&d|#ln$1{@a0ZX73FNNv*`Xk`>VgK|H0EPmsxetcD;B) z_Z6Ww@=Jxp9VG6cuK5ntrZ^FI5Z`FZB;pPdcMvNDW0wS90Rh__t$>Eg0C_x85Jlz) z&Q68~gPoz`F!m+je}lxytg+lCe#63{M7c0TJsS(&f`UdKv}1{addjo0WLpn@#5R|)+zx&@hZtRBOZNwd$YnA%R&6Z!2nrfc(V15ezEZ@ND%+(o zuYqgJD;vhjivQ?|P>TERz&NPcG@Y^W7usL^g1e!h@z74O32ba4UKVJm^^`pacTnQG zA^Fsoo$V-&pt3lExwVHoNO1(j9VG4`aR;RoM?i4|6i2}Cku`j>sY0W0q&)=7Q5*qh z4dM0!tFecMiz6WJAQ*!ZS%54+79a~$iv=K#z^)c@2YtQ1O#XXi zf--o_SeQBXrs&q$J!ZE~frqQrnjA2Qtt~{QbTDNZ*>_KH5f0GkMVvWFQ z%-DiKrA0l%FLo=U01_h+aXHST=o-i2;uIOUT}#NEP!i|cLFPu^!jAvWkU7C5&Uc5* zZI6u=Q~_{eZk!(ind?x&`DnS;r7^3jK@V` z2%aaN6N)~uES?u;T#nn|GH#l%S-LAqUTT?0ltx|xJasjdQFvK z&{o3-Y3}iYm;5D+Bfuw{kuR`!|Bu}sIGvwKdV!ZjFYqpL2Z=jK+(F_Fl3sxH0#(>G zPTawYdq68@WD$3exP!zUEGeHLy#VP2NH6em>IID71$w9tEU+Biw-J6W=kx-^9mFpu zl*j^P0kQyDpgJr-dI9K@C%u5af1dOLEZbKWC+`*=R&7F2;tm?+C%wU;p#%imyx7Nw zG7p?4?jU$1(l_ZV1nHaf8YsLmrbN%NuU*{1@^pQ~9W?l{82ClMhElc>kWu!AJ`NM7 zY3K*joWxDH0%8c@*BD38w9EItw)1W3;^nt887ZjK(fX{_a;u&q!1h=yvIt}%VIigG zwE&+xn3Xg1}!?d@)&So-m+=$GAU3kMDAF z8TG~K^}Qc-p1Q(h%J*Nl{o~X0x_+A;emDQ|S5sRY7+J^bOoCfn?AH9R0zEe_>h=3? zOQ-$5rpN1(JwK>BCV%Gc@Q)sE&&)WMGbq<&VBe|xzpDSe-OZId;pAb!%~sXOd)Q?*aM88Mdbb4o)*jD{F0eVB z)it@!Pwy8v{?X}S;^B#LZ^i%p_Th6K<{g)$CUu_wVb13G>Dopg)d~HKec&Mp4?6hZ z;M%^@?}H=0-W0*-noV{v5oiFW5(F0ufhCN&j(u7l)ocu`_6K95t`vTNYKBD_E1(lg z#Yu>h16m2=TpDkt+aF?EWUvu;Q!`e{={?vaHeRgO@md%xFo$2jnn#X93JMboC5}P~ zY(u3H~}0-$^()XV|=E%SY`wxjx<@^1+6$8*e=xakTJJtMr!IaEVuBP!soa zePpLAJDVxZGgj!&hPZ>e0RsFuA*%{~u0LFE&apGLO2cRY263wqf;AaRam_)a`!^4U z?OTC#l#8`sY`I+@kZ*JtrH{#}rNys_&&Lw#%x=eBIn<>~d_k@kOm zPyXDD1>0WFJuxoJag6iDV*1ZnZS)}r4b3WjxYMgEzhr7YAk9lzJu>mMHM`5 zs@Inpocr+7?Ia70sj$4(;|n<(Gz(M`245wdUvwqmkfE$1NuHhzeLHw6<{D?AwpC4? zN`)*};Y6WkQVpFISj9|ZCe&Ki(D6g$N)|5-bhyQh1|iG2@}?S(`=1={KU({KYKW&PQJ_X--tViN(4;UH_i18 z<1}V$!Qe9D4(fGXXcH7@qH+W6f*JedG2?PVZ$aophTm~>#dTWRf7h}H=>=XAy+96e2Z=jK+(F_F5_gce zgTx)AaSG*0;Ytoq@IpF1NiPsZdVxyxB%~K0?jUgoUvloCb~*N2`*ufHEy;d*D&l%Uw|+y-Z;`%<^i5Sfu4?og$J)gmEKk=* z+(Gc4_#Phv8Ff(qg*$k$NNXMY<;1qQKP!_l<#7kcz$!}5XMr-@!Ayk_J}A+HbN*`n z#AklL^X*!V2s#{iqHo5^?6hc(=QJFS_SdjWCX&QvQ@pmTI`tp*aq1hJR(p=N&Al0L z=t0L8y%M&$FY2~!hpE$4|0j*-eSRxz*R~e(?SH*-AhfgHs$o}5mOXjb&Gw5y;@eIw z_I`e_{p?kfM*3X)x>ZgTx&q?w~_onN$sJFzH)th&(w)9xP82St70xv52t_ z0s;K5ON>o1{ZGNN5=P{$QY)phcs+j+)Tu&O{kY~>oUt{Q9wJss)#)M!-A&R~G%h_jMXi?0R6(&Y)UJwg^A48F zlUT9L*Q4}={6m$@obR44cwJ@AoF2=7i2Cb#xn_+YV{`K-GaxThwzgD%#y$$Qw+ z@>-Wo08TbB!Mg}Y4wubSI#>$BmpkRzE;XB0l(W6gqWkykul}*AFyapWKjRL5;3_+lmF+91ID$$( z&05T_J={TxBPfp?6ISimGy}N>m>{kiJE7LAESThlokJO(PW=inLoe)%IP#K<#!9Gl zsX^25G_Lh(nrSzPRr~c(t95`q=bFAk9MFcigYEn}_yt4}cTikX?MmE1;tmpbFiFa) zqKqWJsAn_?BGjN^K-@uwSYS~FJg)KbJM@&_+g!kBOvY|egdOJ79b0d1;_%`VF8FEaH@se!G-b8hXg&o zsrTzjlW#u#BzjEmai@BbE|+w(hHDYpkmPxjZ;`csYiMN zJ)5y4!jzMB;wV1~%NICY4IFPSewU5d^~pKUtQpq6LoyHcYSuB5uNNThAXWr8k9j74^dB8xY26b-s?fZM`9N9{PUGbo2N{>)r3f`l<0W!3Oyjzakh$?zalhPn ztIc25-2)-x<;3Y2$hZyee*|RQ53a++ont?k#%jYYhsk-Ex$gqMJ3W5g z^Rt3j+@F=nNP)>n+ch=`Ha2ylt&ds9T3cE*Hur&5l>WU1ruUj=KyS^l)1$W(UpyEX zG4M~n4-fY`bTa6@v)8YDbAy8?;l;M>^r-*Hu!ap91dLwmyXpS>qqpUIrCfizk^jZZ zzdVjy>m4T$42g6NxjWJ=xBK+<^~XL~ymwgKkn|PzBwIy?yER$3WP9p8%a$9G$EL1t zv1-QdMvpZ6vcrcKJaV;+oBP$$1hXgo)@l^@8{gUHFmlJ$NV|Kd$N8*yEyc>y0BXvF zQ@AuwJ9}OC=3{Q0pPePF_rv)y;YX(3wokZ`8&YujUXIP=wg;s#kGp=f{)A0k%Yg8% ze{GqgG8w+$=&j$feijW|-X>6a%zA_K>mRmHl)X7M_ioQ0zP%k9^Vk?ld*~(o5354O=*KYTI*%j)n4BPbP3X znBc&&kg$R?=DJ%SIB%s$O?tSKKNP|H2@_)#D^FI)WvooCLbj80sV)3;d#Vp5k3i<` z7RJKhRv5-R1{iLLYcuZ(_vC5F9My+$EJ3f+AA~XW))hwIuorx_k?m%eBvq+_Zx^kQ zE7b$!@pv{Ob3E`>AiCMXFDAwwPFKgl)0Gv0VGc{8Nn4(eQMCNQhik0??8I1&ycZ z%qs|Xk1bVpE0lE>=o4ehD;vhjivQ@xP>OSYjDwm@(-|9o0g%Tp*x8eJXs6f&HZ~D2 z3y}1B%G4|}1&x2sJ5UszHm0%wQFct=B##mHCR@EH>^}czZomHLk{!9bnE;|6)E$#Q zb9eYhkGE%L9LpJ$YcjC!)cs%8|K9HA%C4U}tHut$xWt`pC2DnOtNXg>i}FpqMD@4) z^7A-l?3#$>!OM5w54dKlYUDlaG8?$)T4cRjf_-a`XGRy;oX+Z+T<53v3mpIGL;z6& zh!Q}Q0HS7r;GRE&YAbeM@=cMX$*u#5sdC$-8MeeoH4 z40{gMHv@Bm3WBZPirhBJ>BOK`T};Px%X-%y9~}6OfbEvu^PT7T8ro#`Fuy;)@9_Mb zBmUiw+Ifx|vUyKoY`Z62hjPy; ze1(`b@l1eX`S!@K#S8Wrj*3nH_IDBv&KWkZ+450)POgu4h&9D;M;tAD)GEED zHeBKr8Pvr6Tp!u#%Fbp=^Nbbxv&k_0@dOYxNYkVGS+JVA!S=yei_`A121O)K@#*_5)$fQn)d=?HLRH-f``1X!LS-PFTiVLse~| zud0)exrOyucjfR5m)Un+=M`$!p6}#?bq8)7T}D`Nxzn(|MACN1fIUz46bc9+TAKi( zaFOtHgHbQ=X~BYD_fDVDk>Us{iz9fy_HYL&j)1s>Qi>y>I0A|zpg01GBcM0}iX)&n z0=Y^ar}hg8OAsq{m|M#VFt;$sj93C6`lCa-L>az|RG|yu0rmz+W#f<`C(_grYTe3$ zh+oKwl;Ja5XiSCWwH{x{*-#t-#Sx5`D-)yq22~tvQqyq+M!kT2`!BBL1%KNg^#a5l z#4je4$O2>mvH)42IxPTk1odhmcks6cSDe3lIOnK;lF#swzpWDQ$aVOexP#b%iHQ?M za??0(3K_eZ>KT5q*9g0=+h4VuobDd#09}Su7Lc{rlQtQXVJPIi>;d;!tKRlmm*X0s-khp_MQ9W1{ z#Sth4u@F&}3`9X0L!QVD~`?k}#eet9h zcuDjE3y3>N+(FU{kY0fF0;CtH!p=S#r?BE)oQfG)HnwvG<|gBWnpo2p$Ot80R3Pr z^pQz)qidx??^q(t$HA`>dd~t%ELFfVIrOC|p+_xQpr2DfX{By#F6>V$-NQ!xZ=@H9 zQL)(9QH-fG4Iu6y%0YTVM(GK*c~N45G7+2(FwCPo#TzopO*lykCq;3EWhf`%mQNFB z4>s4xGh@nr7E-WD{3w?QxE!jeeymv5s)tx6Wl1lm%+U z_$BmXF_6(;p&$FV+`*5=T#9&O`3K8zf5aUGBUF7N?jUgoi>ZFZ9b{wGMwHI~2kzis z&7b(p?{~gks}Vtm15fnLSecy`&GDRwJ4oC?ptB=eN(Qea+}i|Wm7Ly#O=9E4Y8_9M z!2)ym1*~}hWxQLMSSWE6N}%Q*lMy_{U^Cz;tl?DnOnn}c(*XL64oQ;6CNhrR@|dx3 z|M`0KOodLPAZ5G?>8OkwL<2BDu*TEC#JKTxQH%i9??q(IZBhh(4|3ZuF??z$0zOL@ zehkeNBR13#dx}EYSXP?KO8SUpk|b8iG&P=`o)O0{Q}%o(Les~{N+s?faRRZWe`ycp}Psu}LO-6b@Bn(_)EIDqU zO29NIhgPXzm1-%g(zC69ge|#nCB~W~2EsTU=%AZaytSY4AjBPX4EaWT?SG$qIW?!B zRsNlIeHLHi(>L>vH+H>e;9xd~>$7ao<>~d_k@kOmPyXDD1>0WFJuxoJa+q++#HF5~tQ zELO3Mt%Qve!>}xUWh%8;7K=}H#;cOc&uxrXzdf82_uUal=lUJ#9{S0!_B&Y11<-{} zyH!m*Nc`g<8}$OeryVvI{Wx|%#Sv5%NAN-I;SN$9L3!jD0P&ajIA3j09gttSYV3qs zud?#17j_P1^l|kokaNDUGtvod!89%!E1}k<22I1$xYpBH3$?B_crJXMt8-0XAx@y? zQ~?O8`m4%7sUh-#$H#K4>1%{AD2|ckP=PqR`c1-eH?-17V?aR>3blxZgscaY);D(C*eU2LW? z6KXAA^j&Od0&vYV_!c2hSeDfw$y1cEEK)BxDimkhWaz?4o9^a zc1vSMzl<4|F z{K^5^kY1p~Xi20O;8?KNARcAxfscAdgCIhU^a4>l*%PP1qHziX_-27h z!l3lnxrVxmvOu+1fb;^S z7tr-dVFxaaQ%HINgO_; zVBxEtvivd(yodQ?bb7pi%=v5H?D%-kW%kgcjZ1$$+I&gM@1tKFYb*esk^#*x%Xa3a zCI+?}Il%g6h_W!xBsuXw^UD`f@8;Nie`ufl%nwlHDKd!Lwy#L7KpWBq?(0#;` z?T1hGmAWte_RKgB+2{G4w=7-h-e~xi{GYWuw0YhS+kG69YcaI@PXP_B>rdVDRfCM~ zJ1?fZW~1J>^-0s#9}dWD@7dyZhk}*`nTaN!dF^kVWt%?Tbl6($p~S#N^X?BGi z{eAm`JAofN^Fbt&9ZUooJAohwYPJJp#=Mst@L&SQwiGHvWHts?`-8o)fdM~&=fa|l zm5NnL#YsctN`&Y!&ZV~V)9nwTB7_^OM%Rn8 z-we2xkXIMICf-+=1qQs3FHDLLZ|s$06|bd8}Ev zf;s%VK-;0s-Tha;_B`2BD443yyOG`zL@MK7 zmzp7!5l)+M+Qr~k!fC(UIBjtDCAfnhM&1oS|Iq^h#Sv5%N3f{&a0e-lfVhKFiX)&n z0t3bG8MUq)(1zj&D2||9s2s%+P#nQC%I1~g@$gv`mGi_MB<>(6vrESE@nf?nj)39_ z#L4VVxFsR$ON3!iz-80j`O6;EyeNbojt@{6FaTT3j|IRcaY);h?7eG3dQB< zw~;A`ewmy82ks!n5#UGwDsFU17>E*uRR#r>L6OKQtH7!PjEBM@e9^r47(@vqPUGWn z9AsREmm<_KjgMF-!!)kz2$>68!ToaaP|aYPi-+Rkr|{i95Hem)oc@<_1SRwWty^z6 zb!lk!CDIGLBzl3x#2qB=An652FF<;MI9AERP{AHSDixa?^RJL${Jt$3r%;(Bjlmup zJ561o*1c?(%?lf`u_PbMR^uwvwy(+4L9kr8eOorRa|Pxm>NWp}Sm0pms zg9H=K4}r{e*x-CLWbAOkg!2iI`Rm8SJocGk!u6POf7qde%dusK+XIM0cU(kP;CbRX zVdoB(#q+|9%b%2zlHsTH!FON%qrI5!Va4^&4t_i}X#TZvyeR!7o*bzlxsYR=c=^ z<>~r}I|!E?A7lSgFE9@_HO3Ki`Y6_R<)jlHy2ql4TY20;ltaAADa+qT9hB(7H)ndB zdD#8UoC8BY`}lU3uiL1*THGD+;;4gdq3JSm!i{OWBFu-MJoDp`fUmHL-EGgpgdH~i)P zsmR&ceH!JCsP~cA-U}Uk|Gs=x`$3>*=$ehK!vAL;_R*XBW{j_!(aS32rm6GI$wf_) z``uZ5*Cu1&>FXzNZEM!9iLB5{)l6Cx)_c>GN9|r;aAlDE$eGTrcNYHMYf~s6PDb28 zJ$()uzYIJ;kdKIoaf{K>>!K9^*@H$~tXw7ooJw>^k~B84nBP|fZDP(TFwVS9!dRK4 zbm7O)aCX-3UcfkFr&}l+%SuyONguIHlEf;Rrrz?Hv2Yk)4}AlOU#4d&D^mw4<5kA< zod~T+M{a*!)x;e%gCjgUcW~9SaR*%+6u+4;#YjQ?bBn=!aeSm;aiNmj!6JU4f6W~{ z_`KXfH;;eh4t@wl@GS`waR*&y-*uf=s9AfylTT^9BEEiNM%1El8t3^bK4Xso<`$}d z@Z2W?QUQ4m^G&saeFx;hi+S%*6 zHy?B3{Ol}Yy&ukx2|qIJwtd2l+>nCH_i}6|w>>D0dEE7*^(SoVS_Xu7{cFn{mC5i0 zM{oU>^|NT$@-~6WW7Zp-U;nUuqU_D7xx^hTZix|h@c$QgF#Co_zI&sU9u!AV$){P1 zCAEh;NO1(j9VG5xF(IoY^N6Q$t*5aTYF%qkeLRR&`}I+)6{yU1)>sI&_BD7i#2qY` ze#Fb!#sqo`LUQtuIphOlR3y=lK0@Z2(h$CoN3%P@e4wk=m-!as)qe;T{l!t#7MydPmBV8`(a;sRE zOK}7gN01cNgHf;tuPBZ{ zC4aTJgFhzq9_{*f>R+T6cuDjEONl#3+(F_F5_gcegTx*5=p{{JRUY1HojUkLg|h#} zAT&-PjZ;{x!YX$tOX3bz()CGtfha@$AiY3^(ln?f3`&okU$m%%yLDMbk~}>bVlz?S zVy?43!Lc`69&ija59R9xh&x!VU1hX$vH)3tEbvNOfb;^y z9VG7H3mn6M#wj#nEPI3JMT8}`c`-^K5t29^V3SOTs}>l zJ=k0$&x|R1DHUu|Qb+csvj$swdx_3Nm~r}I|%*={a96S2RBYE zIyiFl5+Ux-inxPdg)*rucd-7G+uPpV)B5aj&ofWEo*TI)Wzgo=Y9)7Y5^x6(JP&tJ z^-tWv=<>LOU;Gny@W=ni9sGsI9Wb>tF2*gwyHkY8tvwe$SnOs#>+S{asf(|27wg&NJ#1-tt;?qOMF!Lu z4q70ar*yCshA(%@vt4R7tte-EokjQW*(I%d_s(9w^34tI2F0<~EjvBx zKQgRgg9ZVk*ZOX{|NiK0`Ccj4-)`i8@$xT^BiDMz!KfmUt|50vy5)ACzP|q02aER( ziyM-@;+|xy=y10t3zuw9y=U2SL-N?v^(|J-*xl%nW?y#r(1J&?Kzw2Oz5Q2xRUf7oaNjBY`lCcZ^(~givSmu7Jrr4VfGCg>mdFld5504C!0gLQ=?O zATwZ0y>*4Lk+c`!mqr3KaR>i@aRBI5_hmdapwT3Y#hoei91N#!AgYUNS6a9e9tsBD^menUWIjrxP!zU^ds(I zJHHNoB>;PzPbKbP3A0cffzcego2Fc!N^t}=7e`>^4sIIR@TZ@>XQrWEfVhKT1WIH9 zvH)3tEKsc$fH;EJY9V)UiF{!G+Ws?A+uq6ee6q`r&T~Hs${_9_alERS<3-#-;toL!Lg3&l3y@xb^aAX7xiT@TvU`R%7HplG z91oAiDb)4S8(kiaQy5jUF)+NeuF^tdDlAWJ`oe~9B)tIX1uFHeoUF5^#wj#%2b%^R z9XcX%@&)`X#OVcyI|#<0L>3?mkOjyB)nWnC3lMiu*C&PjUD#*U4l?#xVZuJG&XBRM z3)ka(cgS4mD>haT9l*uQ;`|WE{Qk9C-Ty{<0n!VQUV!uh;IlBH|EksgDtb<%+Ql6# zPuEA>K|@ul3hv<0ZLoHDa$|0 zFXNUTu`+**9C+-pznh3V_B^K=aG8oq4H=f$c^Pu)Z0h zEX*@WPCU^3@`cp9IX2%P+9yAGWLe>xqx;3|y7}Xp%r*(x-y9DqT0Z~WU!jMl<_7PN zD=a+kKl1pvbY-6f37RJ{QJ+8HGz3f!32@@{*dtvW;H>afi(O**cz=a9N z+K${G^2ujgTiw`jx+x>txM*s)#r6H;x0tqjbfoBMOpE5{Hl;aqAF*Wn;ZuF3?n}Qt zGtNWyd4A_DOINx#8onj}XYCGcp7+CcAIIcc4DJ3?Ktt>LQ}=w;Afx-viz%ukm`-4aM&yJlc^=X)7ntyxm zl6DS3^3Okhlz#b4_IUF<*=Zl{_(Oa4{d>W~E_T%l3q&xP-~QlE;K$B< zhR$RM6M@D~AP9m>u7Aa&NYgVpc4*xFDc4%{V|JCpKx3+FiD58XfU)>ptAxe2XXe!FPxdWlV zXOOuUPDVITES2f+Fvg{nFYq($2$@S^aLR^*2*NT7^bZG33;mxtnYe=o=M0J29tW~`O0UZFZrEW_tWlXB{uVQaroLs1nzHT=Qtb2l1e z%k3p3B}tv4)ID3wvD9QJ#eFO>J~_;TVNEOe=3+bxTW;5I7UFnTiBBH$flz8G+!);U zj0J!1xb`+Y*SI?;EMsmp-2~bW8L;Qco7?RgDy|6_l~sx>wEI&W-QqDdhUsFS(b}!o@NEKJo#qe zADgo}7M*okeWRoof$aJ-#<@O?I81t=IQ#_tBM;$=_ub z27CCXox9W2>`O06`!;Oh%&Be99Xb}uuWTkzQ%st`g@c4wwUX9oAguO>Y;5#d#d1hM z;tnd+L&Qp{I$h_!@HW}(!6PHugQaxMyl4e9+L3HvEO!^m*+Y3uOv!R#YPrH#u6<)( zIft^%ZDYX{D2VSBm>6eXLEt^c>XG2avRk37v%V-aQFvv;See9F>M@iu-ti7}dQAmzOBm*^_r@r&t^h1xxl}(|XF(y7sYgpYK3XC&Q8PL~$}=*C*#Zvu0S&m>AUA ztn27W6h}~59Knj(!yTkJg7TDLVWkEIGBn|5^7y)&@?=aYdwv%Q0rQQ=fc;yI@k0S;sk0=nmR(QTTNJ{JiV)9 zOx^Jo@|BQTmnchlfMWmvAn9jpc1!Ml_9Zk+tTc{5Niz{L^RxHAg;c0h?NKo%ei zkOjyBRc!%?Bk-t&+(A31N49=5rzI@e<Qw) z-I&`k@NYcK$#gjtbg_xBBo;>HPJ$9RHa81fv4S|*UcXGD+k*m1s$e+_TjCO#fZH|> z)+k{#?|>3Z6|hVWM^eImk_GxX1#GRjmBV4K=@2 zhSyb0MBrlD4H4`dhCszl7?xDzCm`BZAy=_n z+${Qrr;x(n7ug9IBQG&bo4{`%WL$lL?+=hRZweN*!Ugp+seePJnkU=d9QNH@;6ckC3^79gPd<(|G-|IBG?zT&~n08 z4;JK2Yxd%(gKeR1jht{}+O7!m;U~}hxZ>|dHV-ad%huHSpDZWqz}!3TcK83xIkNcm zehH%PGwuDp+xw-w)!r|5CV9l)`nl1J-RIwk`gP8<=Bm#9KF!S>bbInimEG^6rd#)` zzT6ZOF>c*7@r_TH{+eC4(Wv`5i7lodKNWL%;(PhCthMh?{Xw+0W25Yi2S!X6H-5PA zoiD;2juwp+oL|R$CbIB%6s-61Q)z!4zbI&^JlJ<=n;&9Vc-m!nx|@n7ho2oEGxE_@ zi`EY|v<#LUexPu3S=#BuQLAk~&i#I@iBhHh<<892qS+09xqm8hc6Ogexg+X*t^(_3b|?Od~kW7?Vt!u{I1|n(l%T300Ht){$KaieSQSSMC<|p{S!pUO=_8g&l2|3v)LR}i zmW@^Wdg!T3{4zaLS(!RW8Lu*)??mu;Kn2t##;ZK8N)>PigP)5#c=6e|gOSg|9bC;v z3Rc7&bcIF?I&4hLzvd2FHR4&)p7-E{DAWYGgb{g%sgdKTH$6C=H$8aR9cv)j0P;px zLgc8cO;F?~D1whaOvD{@nSIxFUZG~~`A$Bi@rqz8T^j*Wi^gf4*?9lNXY4V++(Pva zo}8e9V5_$xw~cZ-F{o7+(=pw$-nHiw*LKf$p5tpEt|3Tie}3QL`8h}YyC1dl95rP1 zc$dnKreQMj^oP^h=?^#hY~&@IA(7qwntAI~li}{!c2Bwv<(_lc=$JL}On_qf_QH~}0-$@|u;NTc|u}@`{#2x&PHvw@6bJ@uYt%MHn6Q`fgxHDh<9 zN1A=v;X?}^xmw1}{c34~*^_>2HH!OcJ3X9?^5aDGhqk!iQ>6K>>&6kNWSV>7w!L21n6t{<&GVN=&KAiV2eTjr=t zhA%jJ>$j|*MZ=c22~-}l-r)TDhwT$(Z%)l6?qG3C%q|IN*$B8psNVoN@HvrPiVe%F z(98hDw=R`}`V9gFo3UIA4_@oSp#&rlI9Y5lf-eaQ8lirUB?=OEP*6qO!5$_Svc%3G z7f~ERWpM-_)gJC3#Ssv9u=KdR#2t(>FpnsXfZ_<`DtVkbs#p_Vu57*>MwWwy5o&GA zV#8h-z0*LZveyWNTC=j}QN7SGiX)&nf@iyDcs{mHP4eydTvuz2nNVx_qVE~*p@U&2 zAQ30-pbCaj@}oEciX(UidTE8RS;QTz1>C_F+ZPSF(D~>p?0(|n2#7nV`?y8_kOjyB zWC5~3HCO=R2s~>ccd-B9zdJnimS6I}s)&hIcTPR)uUqyziTE}3O0i9K)yFcMJ zGm5ymX}mWnj^JPTD~cm{)wqK;tt{mMoMG>vH)3tEKnU5AiV%_2aRAQ?8keh zI9{X|AiV(T1!$Z?!;=+XzM>(crU(w>N`~ zIwinH>GTp+qHl_x<5j!3gXQV^h&u?E9IHiDa0lJ`%$9$q_1>(jW+v|CaR-sq`6{O@ z|13Wvd2o!L$h2XRXHM?1?W^1`EQePSK z$Ej~@TJ1U7Huq-0p$8pX^h(&~zNp)_9i~oG{hu_R_xY`?UE5mBxBvCVfzZx&tA<@M zS@z^zH`^};iElf#*!%gx_On+_8tHTG>t^ZQ3R1T7qjc;k83j9BxHYcJfvv({BwVW9-5jPyg#n6 z@Vx)XE3Agmi(W!JG6P;58HhllWQ@w`%eK4t?N(S^Hqb4?mI80yk?``xAjTW z)*lYYZ136Pc87wN1(}H^pLy+Xon@Oo-E`Pm?V-fLMf2_t9_2qfcB<5;VUlV7?Y&Fd zIRwc+|M*e*1P=47`Z$N8-wOu;icjJld7fSBM@k!J`*txYBo&= zdBk6UKl2M#5qGe?Ri~p%ci(%P;s`2>BUn{?xPuf&K-@v%4i*!#N@^G>j-Y}73yLGC zP~1sz1Q>5Z+(F_F7JJpoSe-l{OWZ*O?dc@Z#2w_=zZ6GM;iHD)2q=yqsxsfoHPcZ2 zp|C7F_Gz^ZV++Td3)whMVWae~1%IvIHU{+q#2o}9P$CPE1;_$qfoin?#1S;9h1|hQ zQx3I#r@^PY&mSC-y7rK(-!ZkvCE^Yecd&|exfDl0aRd}cKyd_yM=ZX4Q5=C@>fpf% zt)fcJ`^BmhO8HowUb`d35kQqmgN*79tUiH|Q4WIB|1ypMrEf;Qz-ZRpspG_1ok%b6 zlIR6i6L*lfgTx)Ipj({Rmqpw`;tr0ND-$dIKF{ylBJLn@2Z=lQ3?qyw#p9*2ctmxQ zUV!uh;9*N@_V_+kq^Tp+y4BG5k;6;tDlIgo!t&In)jI+#Z%ACy3y@x*()LJA>jjM8 z1v>a-wS8~J_$Pe50C5NL%Lyg209k-6Ko+PD3y@xbxP!zUe1SqyeLvgEF2{ar-|pzD zCD~6;MO-iF)^Di!tunl>AxgP|)djVOv1&DZ0#Nl}P_T!t_S2)EU(M=1-}Z-(pSkP*LzBdg|b@#qI|z_xw@!llMD@xcIL5 z^7Y>~NjL)12ryM{%%4rKeo(|l$KCbzYPiqqU1guY9y*C1j{jvztG69?p0D3ye#Cs` zv^E=8XPsLW7fSmq+`*&I!W|5$ z67FET=j9Gg_4r5bpjBhy4hBp4Vz^q$6m-pdIp2)24Fa@#1U6N6fHF&)z_ z>s@<%@L=sjKd^hg^BiBpL&6^B_viN=o}Y8Xzxz=;&rw5Gk9R4J&x10?q+v4h^oP^h z>7R3>&qiLd84}s;ubH<_H5u-nZTF<>Q0_U0FHf^3o(WJa-yZq3c)=dSQGH->`#T8- z=M0CPOK%wF-MTK*NGz`&Q7nG@gYmw`;?DzHa-0P--bOQMv6I3;y15 z?QLj?VjC7ySjJpKRc)cKJ5_cQ5Ok*_ zQdSi;P#UY0tK@O&sAq#NffCGBV<*&lmDR?*uyZJ*kE^e-D0dj?7j{M*dC5g%CDgjq zplK+Mpn?DkPN3#gfv~gstBUbX^9u<}5Gxg^Lw3|y3$?B_SYP;>SBfK`I0A|zpg4l( zZWC}%KP!z$sC6vs&8kmfH;C?wU9e_`=eb>BN`~DU9>*g^y(t9D!u-M$HX1v#4wyF z5-SEyW5yN?b{f|+{DLq>*Ab1Q8{=}EM}cD#!#w=xwrdH$oKOA{1C`o*EY`AYF#dI2Z=jKaRd}c0DcNnt@l^ab104=MH$Pg zN(rk<22gR2AH1Y5VH^QIZH;_^tfm`=*h;1jCB48)q8G>|?jUgoi91+9w>aqqDliQ9 zA)538?f5uG(hG3VFdC?461x)SZHsoYXXpNt&IK2RI2l2}ZC9(infGj{3s16H|UVylRq!%a)tE;vFs);*j zl%MnlhlbJRk5Yk3pn#rz75S@I*Iz}?X=3w>G)&yOMQ4rO_qw2sp>^n+z0-g1~M!5w@swSK?Hn?uLo{)jsWMkt%gatF`# z8n$UvqSvOj8#_(#+VQn|u4*mfu)H2+`oyZ-0tC%~eG;#-f0C%uSMcl!^ zO`CSMZ!!2Y;tr1TpB+0@>eDdEl(>V$9R!`RS1j_LgXL+_eb}^~GBv9NdUmuP0xbzi z5X<6OiO5<9{(~>DP7VTrm*M_q94g}us-KHH==^Nl!K`QD4i-KOcd&nza0g$1Uhd#b z4<2^M8Y~_z4^yMXi`j|}#2pME?jUgolcKp{xQRPB`>yM}Le1LqoqVv3gmER91$+c1 ze;U{E6LANLJ4oC?;tm?2en}q09c0tg*y>foFEqkfnZ#(F&YnE$IF;8vHWqycisBdu zhEyVsKxk{8I=1kOk139zvN(c|YY%sj;s}U4SbAJu;toa`xG_A9YrUFg+6`jWetpzx z9bnJ70uWU7wX=R_1dw~!`AO|B<>(_2cdBU zwIBJ2*sFr}Kw%~d&N1V1PL#<_BSR6z>X=c;i5bgaLu(3g2Z=jK+(F_Ff-k^?KA~1~ zQYnr=Kbq{T!yVlA^+el{DPLKWUf?Cs3#=vXAaMtYJ6Hi;kJpz)dI3IQkMsh`<$a$g zy#VP2NH6ewL-q1}Ea?SEFF<+$(hHDYpo)e;=bC8{^;#q26dJjMwp-sWxVFo>E?+M| z+(G<^M~N&z79b0d1**dWq!%EL*Q?9%BE10V1xPPIdI7_e^(D{?_|z`$V0pSe;toP} z!m1Pl8To=JW&5|>!PbpdZ{IR|(+9XeD-%~ioi^5|tQJ`Xiry8OS-fY_l?j7|uX4(2 zlW}YEI`=<+djgJ7#%rp4g+Y)!5WE4JRmOmZT2eYkKvAGStECI_hA~ z&hzzq%#WC_oYrRJ>g;pN-(2Ip8K{Hvnj&>@#(|K=|Hs~$z{ha^|9>T$u}R1sq^Twn zN06j~xUU=tEh0yygxKs(l4Y~Ib~cVyHM^A{QCBF6Ql)-wJ-+z%r0OVjed}sm)s|M# zI_m7<|9;QTY$lgRD_}zbCy5S2j-5QU>~BAp+do{&H`n`ISCF@7*7e42xBe_Ru&7)A z3`NiB?xB0Of2I%F{_#gz|MaWh`%V4yZ1?1!XXdovI`@Bn)wF@vvX60Yzbcw--o<^k zAvJN#njGbo_m}*f@9H=FR>A02Q@%NodVbQp-LE@a-k5w;vAUyQ{`x&Hu2MF+vvA_a z$sPv_hsn;aVLnhaY~v|g8yL!2ejfK`#9)17@Zh#bRm%e0aswLMDa;9{#-$Fsd$I1b zx7W3fRPVoS@NKxH(~*NtTTadT->5o9&UE4W^p%PkPhYroB56i`jNhsk8!Qjpey+p1 zzt3N^Ea(*w_wM?TgwGw~mk-@Bb(}+PAE&6_?7V-=E^M0F|N5dEF1Z7~y?pHImgeo7 z>W({c%{7JbeK)*zw|%$yKMm9$IN90f`odrPY>1Pxjj}!J$jojsSp?K=(+H*9h!&u#*S_ zWt=i6M6+5pU1?&G{=uNZOsRo$gj)T)hBNhpaR}Z*1r`Uv0_CZg;8=D+EpcDG`|ray z;-ue9qtq;uNv}&Wz&SHB!@s$)2t>IMCQi}L}h4BCJweBHfyd}FJ0L6O9BIA3|I(du6o)}dY$EsM(SXLdQ z)Ty{qeaR=Fl;tnzu;|{)D5$@ogif{+JRSI`7B;Va=sRAZ8=}v^il9vZ?$Z>sL)-$apKPBFJ)}1Uy?F7B1y+WcLL zqHjp})}5&vYt*NMyris~yB8RI02#&Jm>Bs0rABAH!2w6zTL*(w5;~M+Sy;u^ZVVZ?-!+ z5QXj74tzS-duA|r1D)s&dDrfKLv|M&Xw&ncTfp$xmE#%~_Y_!2l9|cfWIde4&3d>I zr;~nkiB0P9*Yv9=nht54@AgO6!Qzt+I~}i2IvHkIx;5!b<@{~JQCq_1aN-UYJwb>& z_=qD*L)gyxsyS=eWqsBDBX1Nh8ZGA=eVwTv+ zwAtMNJA7PXVv5_voAd^oT{!e^Y<3=!j<3+&wi#xDA^xtwRIGo+~Ql^ zE)iI}LrV6O>YDB3W%VAb%`H$#82l;WT|*v;W(`{XgiIJ43WZZ~Fnh}_AvJX><+5Dm zljP=CYv`=NEB0o4xy7-Dj$hMp1UByAoh|A^&xF<)h$9Td9pqo|&>!*ud4N1X9;iYO zKpa7(_2bEb`Qyg0n{1z{jljz?1 z@i7CDY`R8gUF@w>TNhyT%%JC3aU2YroBNRB;S=?7sNzuz%XQ$h7i8RqQWY3JIeh>XPTeIcVQZpUMzoDY}7gmo-G2r`xct4u2GL~C#vEtBOGrQWE*!-TYu{``QE|p@RgOQ7a;B+dWaHvfIL7RAP-c92S_hK z+(FyGE)EX2hb*E}aKN@RWO0BO*Ly<7L0(MQS?L2=9L&Y_Sjgg_Zml1GBkmw^2T3nL zdI4y&FxC3@DrIKt+Ql6#OV>x-L7^(8!Y4io@v-@Dxr3Xx1RTo92qX^K%oU)E^%th4`X&Z-O008r+qngcd>vlDaZY^hVi)L# z1}>ZKw06AIWy2c^fr5xH4|H>k9***arCys{m)PeN7HqBe=B=CV7cZ)0KOWEOzUUfvGpn)h-OHwqufIL5UcD8AOjk48J-6rmlBb$2P$%)#1>Pkz; zZ?D078Szz3eC{j(ky+XBP2vs`caXS)#2vJO`n3L%&KYqBp%VtUZ<}{I2S~i*RaXDl zW;zi}y`UWz5Hc1EI-vZ2{YZfRJ9t|9JVIRO?FM^LV~^LdSK4C)s>%#7UPT7#7r z1-Nh??q-?XVqf|^s#cs$aRlYlDa+TqQXB!r5rm3QKZ+xuID+yU5utUbI0A|zD8G`3 z-84J+iCzODP-*aK zlw|_4QM%Ot+w`(@_^X8PtZN3aHp)z3$I3WZ!oPZ17A&b?ITI{(kkfgqlHYP*i+gLp zLJNdGJxtMV-7=Qnj>{&#Y#o%q@zb{A)e_;A;xew|FD?|hG!Q;PV<2M{M3GyZu#Asb z9J|Num^(ris~eUp@>83`G7juxIU;Wrf4ldBjMWyGQz7F%4;e>LOfO*CyYs`B7yo#Y z^a78GUSK_O2Z=jK+`)2&#Yrzvj$_0Z(Zn4j?jUgo#i`wri{?8m(<#D)XQUU9PI)S6 zW9yY8RI6HPi*QwlJIG%Zny0YnCN4f{aVcFT%~MG86h3&~Vvy@S(eo7A;t1Zj^!{F# zuiM>{>IH~92rpYGkq5{F2mm&@b(8+EY81wBJuK}9{mS9TrI`xf|>0FA_B0&O+ZrNqS-Xk3-IkA=>@30;s=4B zFug!X?cxrWrRyuzj`0(w3Ui@WXx`LEZ1^5?y}%r3;B0XOT`wg1Px0F~5#I|CcaU%T zi~lLj9embn$?x;u?$vj5-hto$xAhJay3!?02)av zAml~qbvjmMN{P~HRHGTsAbsj6SPk~?J(ks(B8=&r6`BX4ItDOU2(rS&_)3a^22~|3 zrHJ2G2!bWlNMT~UCA%O1#d^ph<9pD__qN)~7*8B|i(^%+W-P0YQR>uM*2pxoot+iB zCABGizLTK!t#a<*%MZmJe7a)X!Pynz4xXt9cQCF}xPws-%N;E6|3~hiPm>}Xnt5dI zcUBhJYtd^Q8N0BsS2ukW{MB16TP`Yemv5Z7^Z82|o9biBiJ~~nG65GC42#PB>-%JK zXL@Y9u_HexXu@Irhvy0wcCnmx3@$Y2{NH_N8MFUanWaP98@n#$`UM8?w9L zK%1Ti-2#Tkt{m5}xTnBElFUr*ChOrWZr1BH;&jrFF0n~H{+fREMAIRS^WFaFI#_(t z;cxfXC!Gv4EZv&)rE>l@;ixTPbNF@Q4yFuB<#<*Y)*9jt9yhN(+o@&AdtG|p+mgPVqbkkIAb!E%Ztcu*X{hT6j&q&NcN4r(Zl zpd7ipMC01P>@2tVl*YGJ8;nt^bM-Dqa9eFBLvaMe9aIV~C{r>|+`;l`P!vZ%aRdYZ zp?ZJ(9{ zgC*Zt(>i&8JU|{G4^);1AdVok7IFt$cns}(`IW32%(5>hw*S6Y;x`ws_8{&caR-Sz zNO1&w(?@Xx6h}aD1V)zar%TiKNQu{H8C7gbRF~vLkVkRJ{aK|hBwDG{u-fEky(%jc zd1wzY~R2!m58o*F#mD!Kfd_E7>|y909aORmmOvbCz+zil*1vlV0F4 z(F<%O?jUgoNiRTp0hLkD>C;RVM5mEnfb;^S7syQ2YFIAWhH9(e%~Sr^7R^&g^AyrN zg~f4yI4~~G{i-n6lUsaiXz-V~gQJs+Uz%v*4ia~eHENVv+v^zNbql;^n1wGs6F+(H zOhDdL=kc>o@9fib_X(+9fVhL`Axh){@&I{&JWv%LAiV%_2W=BEJ#q7X_05lUFspL9 zbc>&mnX1<&RBZf> zG13c=Uf@a83p`u9xPxWs`iMIS?G(0Y|8kzfV%))Z{l;W}IrKj>usq@pf)h%n(%ixC z)Im4Sw#rz4dPY#6pgJ2)y*+m5d6C9sy&NW$mD9fZ0`+`;PL4o-Y1 z?qK7JaR=vAggbb)BHY3FO5qMhKP-1}uKz!B2cK#}+`+Ky!@lv+Ykt~4wc(5#K64%c zxklW<>;SneSAZ_oUznEan;6t7vCh?P=N2sTb$I>8Iq|uRKjnUC;IipXYsX7nHoTz_ zD2RPvvu=*j!%?2F)N7OL68oIOg01!5ymiz4;)S(G1Kd_IEB3jrRld@5S)7ah$KzSu z7hU6SW;OP`d)d_S^|z1t-X=k~l`64;n6pe=FIXT~v(73Q~=F;A62t0eB= zBisbU9VG4`aR-SzNZdj3-6Z2-V#k{z_2X0Uvue15Csui@9Zvq)gW?Dt6i4uW?cok? zqBsJIBcM0}iX)&nf;861>Qt`R|V z)qp~tL_UsSCBzYofH(p*#1XW|I08l4 zaRl9GQyc-s5m@Ud#Sv6T9Kp*E6-V%N#o`ENS0s+$Ohw`d;wmMMAnIY`2nziFF^<58 z;t0Yhj^KL6zL_t~X})y$wqtA4J(6y(`?AUAZxRn4zZ){4wIx9vm=w{p@tGLiwbYsxaRHpy)^Sc&RW+mpi~ z67~;)00@kq$HcgbMGsKwlJO($ewUYY=>oar&6zb7xtvmbn#A z46nSKfZ_-!j)39_D2~9^sA>IWG7rAdfu_q-r3XR_YU&MoqbXKt)R-pNEH{EB^yK)& zxEHfT37F092H3fmZ5Izj7n@x;^lof+9;sO-lU~OkH%hP5u_}|zFbfQAr|2y)jMi|f z61JPc)>}NdtHd^*)v0YI-UBOJOI!_c1ex5gbr;P!ZBKCo4~irBfZ_-an&onfb7}ok z)vIi!3fHI1c5(~F5l|cf#Su^(0mTvc_t9us&Oc~;rw%QXILMCLFU}aF_Yp5z!{Xt1LOhn0C}KFJOFV7?P_5hLD2H;iC-KV^uNFl zcC`G>e0k&nr?x*(90A18dFSGsxXnt zpj3_*KRnXBDilWmt$XnVQDmp1kq5zWK2ZW>rp?Y7~m{QU4v^>2mm&@b(8+EY81wBJuK}9{mS9TrI`x zinTEfAa25>(WP_IY#QkW_(qWQ0@PkTdF@ro%=Wd5J6M*kuT(qMM{mpwgNS~Eo?|1_ z>gP3_sh^3>?57*6AI(Ox=^CANvA0fbU3kQXPmKS^hT=XXU-)!}jAQ!2!g3w>93yPQ z$#vs|Wt8N33Cn2T5i;^$&>qVLPz=u$Y!06|u#ej-SuZdLinPTM{4x2!$?kD`|Hk(M zPIY`__1Ze0aC+0JmtwZUzV5ubU72{;_#~&&+`+YOTes~x+H}L_-~Qa*`p!E)Tbg}y zqBwW(cPV%9o!Q2uzwUS`w<34&CFBl%4ctL4r|o*=4h{wGU^H+Co0jAb_8-x4%7na_ zurqeey1Ns1khp`^o6ts&Vo)t~ADRbjF)%TWB+L>4gpv}a)u=`@opP>ZlB*E}c~uowpaDU;?eDEn__T=`<#dQmc81jS|o`3P=8b2o|qC6nD_S zV%))b72ytkUlH!$3zfnh?EA3X!TJ6Y?2a>d9H!1Sp~n@TyyKuUHf~acLo<)e{m#lF zdo9|^B71eyN5Nmc)w1QHLU;Mbi94Ual(DHkww(Bo!z>e~oyUi$a{u~1ncSHkn{MpL z&k34vSpVU!2iIa(R5~&eVmceLBcX z0IybJYI%iyFEIE3vPe&3+w+GX(gn6h?5LW&8qJsc(?NAjV*V1#h2_?r_ z9as=?DAMVrq%Fg}jtmUxVmGo!-fVYtAoeP-9r$#v_sn3yi3mvAwY%St-315Q^gQSm zFg$kUxQ4|&1s0NIW^y-K4`*?+9&W_xq#s>klY0C${pyLPLmKD1{n2%>_@u*5&Fhm+ zh8dP_P5M$ff17aBmasXTxP#ghQ7((MMj!`T>)R=)oHpX0vq%gC;vX=kn5JdaIpPjN ztwaFjWP`O@_D%GR+G)A;`Fo#DF6i&H`}&%gMVF*^!R~LG_}mogV+*K{Zw)*@rNKna zbKSP>o|QX)OSe@=#^gCJa=D)u*814cUcYb5>sWZ&Yvq;l?hSh=f>DUCNMy`YlAJ*Y; zPU5c+rmpbT1{q~cCVaaWz7<=v_?)Xk6H?hc$SHktzOxoRQ)n&>AKxC3IN;CFxA*t? z`dGxg)0cnRc|~k4@HdQOr@PM$i+}p5r@}_84&HFL1%2IT1|pU4)Q|#SzRr&$Rn=Pts6&181#t(9T9I`t zBY@VZlH&-9=>_)JJ?d0*{GaU^8(Ci91MofpT8TM;DD{H84BNEk32^o2mMV zHHA^efvhPs#$+f9Rzf&ad&nXx1=$6iA&Y<|T<-~444THy zN*~BLF%u?SkA*CTT;qBQWHF2%*E33dKMvM02pkiBj~UCupm5xdYzo{Tqs#HQh^)Z# z#B)OZ1lr@R@{CSEr14A1L|hfj1Px=t-D z<7Mdu8MmR!n+VHzDa3Iz{7w%UU5)kwA>(C0mydufR;RwOjJCKPkByfNm&1g0EI$Y` zmV@7663>zJ0;Ct90Jin%7dqtKel= zm3qs@9c=ORj=4XY*I1q;2Ra;4*pOP?%n}`8^-T=wlvwBLwsQ*>`8vFQ|SmebF`UW>#b0yO&KJUw?aAy?QGKnXYEGdv4GB(o2SyC|f{V z;%`mHF^=W*Sw0w5E_GNaI5|}$1-D0 z&lV%EoSl&;Z*cVN$bY-!Uwq>t7$vIr4?qy_<91 z&9C>p^V;6+m;ZDubMLg{k^aHs&s=Y2|5>0qye)ft`s8+JzCIi$ZBOdJ4N<2lwkp>5 zPmBXk(k~B`+Xl?UH>ix0N}p-a>wqTBA-K}JB)F0<2~m{?Ad4@#099$F^fOFQs$Q=} z2(?33cqDxb8Q+*;VmynHnXL!0B6$@K$ll!!uS{({F*hyH{t*Ce&>Kz9>(lXU6b^Xc zi_pz*!vY~O?r^%PdeLqm$g^yAt^6gu3y)%UpsHlmHbX5K0zsNj3~>jmhC4WM^ompM zI-6rDj^IIY1Y2qkcaY);h&xE!!6L0%aSbEI5tI{PL2(3SD#R&{AX&J9GC7r=;s_{? zpaL_G7sYB3cM#@1qd0=%&tPerswgLHZ2)Uhs)0OLrMS=`CHqNr&35v#dXLp|HpCrl zA1bAn5_fQ%-Z)x({0gzBVmA%dY6iz@oW>T8Hw&_jJ2bT;Pj(0XbukId^i* zB<>(MgA#dwJU|{G4^)W;<#{BoYn<0NKef!&}UtC`W2Pi?% ztd?m(L(9ob@Ye)U0GS}zV`b^Qu2v({$;N_+c{FTM$+E!G1b>aNriPpb`&+lE`4S9Z z$-#CO_QEYi`=-G+MkqO~*j58<)5DRBP)?@Ix@LgAjr_T=P!=AE0~?!+v>vMB z3`YGZn6t4?yLY-A{w2Kq!4-@1@1ID#e5gnN!46lcy~5xUOxUhS+ZWob=WMpl>zM~pQBZ}Ra_D5?;vV6d=^kGnCDv09?Y zElya*DvNUl;&#j(A&ZYkELV(&Y7Wa{Jd_weg}>c-C-xPzn@AiV(T1(N?2 zLJi=uPG*JN;#r#MTD8N`wzHM+Tpi27+*a+G2xFeoHkI@Owu$*jFHr2sOWZ+gOIuuP zBX-m9?Nv?a1#IsH+WB?b+9&GeJyN{@aR>3u2_^CXd4N1X9;gZrkX``B1y(eT*tAIbQ`al-r`*HoT;-sd`>{PqBgJtRZO0{D=TR|WxM5xuz0|B8Q#QpT+ zwMvm}x<+SR?5$H<7ap`G z_MT?B|4ZGy6oFYCME;SLJNUbmJE*%66ew~B+bg%;zOXptC6ABJHbU;;ORocWFi+qP zuD5XqRZZHo^Y>ohcQ4LqMEH~mdt<`SL^kW}4&1@xaRz~((hjsJ!(O?P^GTw^kYguL zE&JQg<@OJk^3C-=*A?XLnRUIf+pRwf4lL@{KSR-Tx_juJ?VssGwtxJQ)<6B~_kL49 zJ=;C`=b1SzxX%6GUo~yuwd`Y@+pmgdn|E=aZAeWVvnEG*<^3f;=ezn1zf~~0)s$~e zq@JHNZ};oYmNzCJRjlslm%o0`i>s7P?kt@6ak9t3!eO$rYnTrd4cmCi)&_=hmY>JH z88KKN89cb{QPr{lx7>inb_#RCsd1^p?q00>?Co`}Bh~wF8+;os>2&0v)0R`S{x_ozZs8WR2`m$ zqj2Oc!6NUWxPz@L#vNQ%5$@oXif{*&mBJm210ayilkSoSCyXj(=+%rODBgtrL@E*E z3yscle+e1L89WZi8{R_UDVhW|u}bIA%fuZd?%=Vt=^jb9*L~S!^EZhHkKYZM(Atuq z4or$@+W1V2?prB*3u}xtz1AXknH4uj+(G^~dZ?&j<&fsp285-kQN_f#8U^m5plh`u zy0XDa?5*0K91fANPYC>mL7gfl##Jm@kjL`-4}fo$z%Ltdf2OXqbo}-j{LT@7`o!nX zvR*B7D-gKbg@t7w_KlBT^V9yRk9rdjchGwI#dkD#^}_-AR&-s2w>Egym`wO~FMMme zE;(1L=( z>8G9w8?icg!>u<)Y}p-{b@^q#Hb0!da4%_fP#XMNPV$MmG0b;W&nasgjk>*P`wMBY z6PDdnZ&vK@(R3kk2km=-8;Lte+(C9c4|z1fXVq{A`@foWf93v;O(~AxL2(5Ctv%d9 ziX$kC98+!cz=K@S$LuDz1eTTyR@*t0;^{OhM>be(XT*!bz%>ocPI61b8gvcC5l|d~ zp3|q9DmaU>RP#!41QbU=aRd}cKyd^r)<1&d*vwQdXht5|9nv)YKeh?KCHdjUT$%$q2t$d9D$8H__R~uz^}e*6N!2O;tqlnD3J%q1LOhnK$Us` z;s`p|Lhj)4ke55zf4b-Ixi|mw+}}P%&mnE~e-p=xI9|{>g4+QH=us<-{yV+|j z>@M6UE@df8s`q4XqNSdr(MtheElTq1&BKc&Y(mdAPJTyaR;r#t9_xmVf;Pz zd2vVX-LvnAc$H$@!89v(aG^2guRC7Kt>dKJL1Rwa_3K6M;6@vFFse9rFh3^j z%u`nG;C$i^#xEbbW9m4E+&)fGzu9^JmR;C1v;Xx)H(YWDe0%xW)h*52H`N_?;+ks; zgj+5n@IF%CSt6bMY#xr9^1 zxr7z=BjM{dAl5=%C~CGCIhry~&~4KwwXu4m33xw@cZvN%`SK7Eq=TKrn~!nIoDj`w z*>t6euOQw|ETu z@5Lmyo8OLUx$K!NrvRZUOasULIL9($P0to1ubiEcCvR}{?8t-zIoI4XuB?hWbpB?6 zOLn_`n$&w;m#;nIQr|Hwq3d5C&gAM0nSb!=FL~c9URc_;m+`RkI`3|8hmY0`oxJKs z@1tK`i%XtY*nR5yX{V3GPdW01*S(u_-p#M~z4O}M?U(;_EOYO)8j2$*M=meXxHd35%Pl^owYJp;V;snuLSsxOLny#(yla{aNpS?3 zWz82X)x1(10mTtKtmc*C2;>&`(rs+DFv6tmt8-1l!bIw>lUX6RcviPJ253}99<>@8 z6`!Fr@{b$GavnF;a$TftD#Z~{9Kpl3sT4<0b8!SV?qKA;sNb9CckYgQ0Wprir7>fG zRZ8Rm@&I{&JU||(bPqrrLDyQy9USR*xK8#q|KHwPkZ{B0KcBtvdF+Rqh&xE!LE;W7 zv$Q60Izvue5au-$CNKnTLpr>#<)v~G-COzdg$r|wiyD1wWbQ+XhfmbUq1In5EaTXF zFUYtJr7ECH;+L^%ju)2kJ3VAnW1#&&$f%;hzU>Ieh>XPTeIcVQZpUMzoDY{NjsRkt z)R5C;N?DdnD>K2GM#jku;8u>}o@^ECiHm!pID#jQJNSHD;-srK`A9E7dI53ZCUju$ggzy2piGDwBE5jC4&{sAp_@9`#9 z$AKuELmd$51xPOtN_v4}cMItS%6-&8i@~7u*t@z#CGitDo{-5JwE78|5KD@UmxI|` zZV9QWQ-S7`zjI2psiYUEIlX`^@ssz?1msP1Uc2eHfLq_U$&%^?h&u=`k13G{$OGg7 z@<5e%fb;@1{`TaKztKE}G*4kAfF$d*d#B6cU&7lTT(LO+{)xoPhkEoM>~NLzO{8zC zWPMZ0%x<-dJ6M*kkGO--Q^Vi!NFGBS)T3|*=e->(`@b_^ufp<(I|xoFnM!j9Lz}l& z_;_&jZ?u}-t%cg*YxO4QXpzQL7I$!V3GU$2(Q^~$B6n~la0f@c8?-TceRYi=GA9AwZvW$3i&b1q?kYHGJYpbmJYc^^g2)ga0sp}pMQM->}~w(x|FlVS|bB?5ES1fUT{0iH#Bu!q^4z#)zHX4nR&D0oqNg;Y^YG@jUhVd3`#bjlu!z z>P6^g0OFfZj60les$R4k2=XkOT`PY{@4};)9U!4twariqh6oGC#CR4nj8z&nrU^Dn z?6BC*u=t@-<72bC0d|P##Z=-H<4t;l%?^7vHaiaqa9hB9+YGb7&~}PaGItTo+h)5N zY;9m}S4p8r@wQpr11mA!it#X2Id`z#$b}PUjQM^o#SuIxj^NYU!yTkJ0^$x5cd(QU zS`^9|mE)lEgep1W4u*CJwNZ~Kj-Xs|=kprf7_2bF9i%t{iX*VnIm-++Dc#1_t4Y2c zaR>PZp16bMbq0o%>?hSV+sVu7Jysizk>Ut!9g^~6vnY8!N#2qB=U`cFR z>9H)*3y@x5oZdJ(`9Wi!Qbrc(1xPPIdI8c4B-=*dE68*u?jUgo|M|ATM-Ax(NH6ff z{)i~+w1bftgJZY&2@rLmO)#=-KV6!>M@qat%cx>gqPip}a;%X{?$0W9A<;^mhJDnx zjBvbJIQk=U2j33eZL)7+aF*%?h&zZLqC_4b50D4Q16APx(hI;C7YpNCI1`voHWtRf zM#FjUc&EUeg818md7NSG1E%Q9isFkCZ$wUHkq!?CrJBWyJOmIAdFC#=JSotq5E zVt^*DkAtj$j0x8gQORzmi8)TW{=v%9V|=NN8CZ-@lqMwLFeP0 z$FEr3q6`06tm7lA*Vg%j)0Br&=d@Nn^~P?u{wz4Ks9XOGMbGK(p?kJ}rVrWv@kd(!^sC?dP5tz2_vD{v=Ct5C z_kVxYw1L;Mk8y6lDw=KH#eKFRHF3NosW!RS^~zB!S4e$u?%uRB}b zn0!>Rx}#tI`aLhMQZ~7>aN@_w9tR7D$Cq z%L3eT0~*^Y%n7H)r4GA$vF@|C*R_sR@4s#EZMdYMfIEDzj%uEV;&&tJ4G=oLWRK^x2s*fLi13xjw6eW(i90mZ~Lk}yjI z5K2mvR-+otcn0ZHN3kkXuz&BdK&Xi@rgKP-VSJ(U%Rq-0@i3SeUr7-~h!krn3TJVb zLg@b@cm@+ArK%t)rKIsa=s4T3DxNsB6341o%~)0)qtvOjtdVJEJG*$+2%H@`fTwBasn)ejN5j-f4;PcwU9i%vdvdA$& zEY)hG@Frl5D6@$*>eE4L?%zkFWjX(#@tr!fOpasISR)HhVE+h?V>45=8kT!#%^)bj ze9UfgOJM0~U#smLO7V0Wm4kn(wlflylnu>Ja!bP+bWJpI2g{a1ivl$-b3M7mwnxc= zoiTE=%B~tkpALu2jNIZ{`o5_afSRO@CGH?UD?HQ;Y|Wg^3c1Czy01!E?W$ur9fE49 zAJR5eQO@J0T27=?KZ&@5tU;@vkO^Z$SYI8?-f~Mwb=Oxh;uCM0GTT(*4%PzhVAq+< z@#YRMtige3Ib)DHcXG@m?jZmEg#M5R$OGg7@<0`M0OAOG)N$Oyse)`Q(hJZ$h2mg%nIJ~e3-nGXZ^ARu3rG>B zq!$o1HqxO_(hDS)p}``(fDN=q+`(dW%JSP((hJm_UceSd(D_31uK#<({s*aEfVhKI zFhE8{k_X5GAho`iMPZ5=ZEWgf>G2#)w$Mv3&k>Q64J1c!4 zBj*njuE#=_as_Mk_#5d3NH0Ko0n!U#;|1w4&!REm$t{;*9%<-#JSIhe)y2u98wvU%kkrw&*(orpV#{wbbHa|ciC>OSrLk3Vdf ze)sKe1&!}~)q6yjz9qPW%`PrD$);Q=k30AV&mCM}#2pNu({%A4Pj6O~fY_JGVd^wAqL!(@zW3Gk1? zek6R|26n=(+Hhln5@>?Gclj8o=>XjaRnD@}+Q zQZQ&RQ)=KGp;kYy;Y|G?ZjrZ8fyF_vK+H8J;toQ~22?;kF@a@qRZ^^+33XJ4QkTxE zi+G7%aH#{uT|N5gG$y!$nwQup0bQeT3Y2;X} zWAP@vp@{C6BQ^5O)T=dV6C$%rCcTb}P^nmsV|;@m^?EJLViW9Na=}WR1kCzaKZz&Z zB^3{XKQr`dMiFGC3R-u7I=IXKAGw3iHz}&B=8?JIWoKsx$YrlZ13cRXyQpTqG*m6SI*85Uu;eugZxqp41OzupNO*eMr=LAhStpD&_!NM+< z(~iM~2A%)A?<`~X|0=U|XnSMV#l6y7n=c9B@sI$kDP!sitdX*A?p|Q<0c7z9CnF!A z)aa~aPo`nXds-+6k(=>w%ECcp@wtbr|4nl%>wlBDgBkl~zA&fx(&5{PJ19ZNDeSSn zoq~FUJvB^>vyrtbR0k+^*tRzcvKhfj?*@H`Tq35=^)N)&MHvW)z* z#R09d!Ak52+MXN^k?>6jymPUgg{xRJoP{!-HR6-UVE|Yyfg3~IpQ$S?9lyN>&o%MR ziO-!?MK^(^LoSqz&B$bf_5SLc=oz)sa_RH;KAT+7-)Z;tH8G1WVKai^F8G+<{Y?{} zn?h640@mLecz#NQiJIrSZQDI7cm9@ctB#Dxb6n(dKQFBHv7x+;KfZGJd^;a<|}pfq^9m*f+5W0>!%o>SH~8g+Zo_7~D(CoH?E-mKW) zqv^uMTgTpXY`rd%xP!Jgs0?uji93k6Z@$UKCcl!ngMZJh6FKI-@(qe3cu*X{_S(Z8 zq&NcN4r(ZlfZ_-!j-V1SqEbedM>#OJYNK}wN>g{UOm4BSZX6WF5l|dKQ5;2?p(dhi zzKHEg+(F_F8b_B1tB|&-6h}aD1Y-D_0Js%f5#kPx)0et;#Bfg>(J(kxBgz(zHw&^& zFA$on=$^lP{5sSN5O)xqK#4p+9v}~p2ddNq5JwPE3%P^WEra%c_^fZx&a*9As80Mn z{na}y{_`q0LMc3R;gLA7 zA&wW;TI|eF9Dz93or*IU^`rQq_Kxp#Is8j_`-3YM=ifh(c==F|{(~K^meSvXE=JHO zh=b(O)+zNwSpg?52>Jp+NFeBGc&VI3e$Tif8Fdh7KM*qN2e3MffGmck^o3=# z#qD@(l=IeCCd*p8*bGVX)rKSUe>7Hi`RM2()I zJgAb?v|hmWUf`<@)1T?|v#ABXa}f0c#2v&pCzQwono*u;)P3sCaFUDrAHJ>J?46WV%))cBmVo`+@%{* zu#F_{AT|OeQfcns$p$jhrj3qso8{-^X8;ncX)VRtXqefIXc){*M{w++4xmvlOE&}qx5S^pbV$HbTD7{X{s!SGV?4mf>DU28ML88`&dow!4(L_UT;jnZW{a%^eEZwY%St-315Q^gQSmFg$kUxP}ip znwiPnWIde4&3d>Ir;~nkiB0P9*Yv9=nht54@AgO6!Qzt+MT)OaIvHkIx;5!b<@{~J zQCq_1@ax1KOc^A~_=`H5#2qZb7_HDvK-@tqc2bP#z=w4>un@&xAxvE%$^+43OeTE0 z7rw>!9+={Dt_n>^WkXS?^vU_oTJ%hzxiEZudqCoVKSST%-{K-*2j4?L!mIiK3U)8}x(#Gor;oUU#2u^(?%>ChzJ zFq4Q<4JV6&10mYK5<^YIi&NCb5_gcegQeJM(l(X2gTx&q?w~%+lw8zft<7-+Htt~E zXidk-dH#!~aRe@n83VkNqC_4b50D4Q1LT29_W;BZMAbs>V7)$HPx;Tn8F`z+e)xNN z%u`KwzC7Yr;tnDd2@~pP#eqm%#tf1pep>_K6UTs2Z%evdt6$Jx=nu>Ytiz7hYkl)$ z9n7knF4g!hfw+Sz_Q~N6?r75I zx9MARrjuUaG0_WrN!&r=4ia~exP!zUB<^4(4h9oNt&F$Kh=xpCmWGT3Q`eEm|lzyuI08ZgH%k{0>mAJt3ru9Kpr3ukO!*71Ed!q?%~lcaAVeG@?B;jxW+E}VT1{ehwwWWr#^?c!vc;xZBo zP()LvzA0s9bnW5}mZj?>?jT$~{2hn-zPani<;pdvEo- z7w0r0e9DBp{$YRHwdm|VYEZlRaRveH)DEPY!(O?P^GTw^kYguLE&JQg<@OJk^3C-= z*A?XLnRUIf+pRwf4lL@{KSR-Tx_juJ?VssGwtxJQ)<6B~_kL49J=;C`=b1SzxX%6G zUo~yuwd`Y@+pmgdn|E=aZAeWVvnEG*<^3f;=ezn1zf~~0)s$~eq@JHNZ};oYmNzCJ zRjlslm%o0`i>s7P?kt@6ak9t3!eO$rYnTrd4cicRP@~iq(WZD7Dk6e(aJ8^a#>6;f zPKai;Y`W6K@{}Mnm?<@ImT*&f3l&%#1Pg4wF%fqVTD&Uf4z7PF?%=?RaR+x)ggfXa zMGBV39h@vR`q$jStcT?e?)3jh?%;DximEDc2Z=j)Y;C$n((QF$Hrf15;=$v0LngGg zB&Y+EBAPZn6Qld~K@`N-TI4RXV$E^|=wkhaX{o-6L7fuoT-|nV!6ILW*KeE?pS#!v z`k{f#raP@2FLl}QhC+B$_JPg1IYtjhdBRe!O|DDqa|#Q#)_e2TP4|lz)*cOTTg9x{ z=ekz;O3!6+F8UvjXLVn6jk}rE*!S*bQ^(ieo>s5kib1BUneCq2^M1)w&BlH=B)4x)t=DCa8oRdDim9La-8JvX zPZ)gYu8(8dtS^>i*#FUgwb^j1$@MKB!~T0Q$?fL1V_GhICd(;6Xbh&ot@`5}%ZxQW zTa3JNc1E7O!O^oL6At8DbI-W4D(cYrn*}b}?e=L>?{!_i_J~V;$FPL1e|}L!_MoxyS*JgS~qm^svEtJeswJ_d0t`nsq3emJ`z9W$QNGsZq9i( zzux!GYkRj}{?oC{z0;0I`Uj6cbG@1UXMyT);tr~$n81O69>89%1NMVk`Ad2i9>wec z3B{^yhFUQ6fE_R~p2ZAfl}3$eg3WTHzof6|Ud$4e3O2hNV27AqOiXdRczAJVvkQmb zjm^$O0^Alb-!{W6FtnYbl+0ZO^S0S;23s4L+f`C%F`VE%u)^Q^m>3TeJKhwjAD@Ds z3HoA&odYC?_EKfAs?lf}!;bH*GqFaEF5PzIiD2qwEs`%5|0I6$-kE^Bsm{-Z4jTE^ zY0oz)j^IIY1UqXFcaY);h&xE!!6L#+A5(p&deP)FV-#M%=+-vnWd$S;QSA z?%=~RvWPoaCQh|lG`~`=t8-0?e|bqX#Su^(0mTte9D$1UkKi~qGgYf$xnvt``Elb8 zE;-|-S$vf1FO4H0?qJbN6j~w=kO#;E9A~sf2@p?>1A24gx_-T z54UT;LMuywZ&HOVWBKj)tqJ~Vpp10*hT5x2Zm%eg;7Q{S?)v7gCOElyB6UJq0u(DZ(+i9%*cVM0~xnt&kXmshm0() zO67QwUV!uhq!)lTO;iL>dsVC3tCX32Y8Q8~EL|UQ2jMY=kFiw9kIEfvetzZTeWPL) z@sGtiKC*gkoliKu>C{UxTVY>!Ufr%tJZyZDQ)%wt*q!MMI)rSw8h6%V*n}<5sbmJX zKSlmgDemAiCAov2o%Jck9elSqcd$u&;0`YE`#a8Q5^@J)!p;OV>+If&xPu9wJH#&^ zx?}1%hul6+QNP)F|CU|YG_(KpMK@e>2Yh?^*wrn~+c(u6cjB6B3gi23cCnZN^d(@Gc-DI)|sM*K|VH|i)pp0=XL5)(_kA$z=KxYwot=MN| zw`alr6UYGd_A6L35j?-Kik5_ix}!T3Vw`W_&`Y*-af9CV9gRjg(#tBz6X)LPca zGz-$Fj$&1&V1KIk z&EKU06b^seF?9j_mJafgvTp8PVDJHC{Naa*kq=O6bc|gPR5zw!$$MHT$QKObp(zj! zB8$&GWCi4zTk(^`hh-o3jgMaQ)BdT%9VG5xC~*ggJE&19O)w1`Ovz>f@D~@U=!ZSdR_<4Ogo{g0!coFc%(ADNs38)`&2f-_~lRLQNhxxHR{unxw;s_oT zN3gs0a0e-lfVhLi9VG6chT;e)j)39_D2_m{O)#=-KV6!>M@qHu{-TT6uJz1yDUN{R z2#T|RDUKkM9j7;rPA=^hiX*UvRq(LRGGntSj-ck^2E37ek9(nBK+YIs z&Yc`Hi92Y0yG4J=1LOhn0C}MDJpge8eQP0i@Z+y;1s*x?|JAdX5B@%>VEp=JS;zJg zcaS(<6i0wV+qUT##f1S%oid#@M!|PkMpn?%@KQO+e7pVln1M((U8A!u_SUJb3&Ne|1ScZX2Sue=A4JV~+A}nLo94{>6cY4Se{(<%bA>+U*_H9Q% z#yKT%dtbM2pr&D%>a_jz})C9;)ID zM*S#WlGl;q2%yS{?H*R2UXaChEESfqy~1`31?*+DWBp;fv>k(0Y#wd%6wY10xzG9+ zH)}~R@PN8lw-)II_7HcFxP!zUB<>*T1xPPIdI9M#FX4A-B|0U30%u|~tpmbkj%^Wl zkn{qi7brb2{=7yv22qT}9kdOQql|*|0ycM$UZ5;di8!4!MnD=IOTTN>XBky2GIlHb zEh8Lnmh`>AckgZcDE#Z^O!&@0)C&-I5IsbRJU|{G50D3{!ULoiAnu@TU>666+d~$k zW^tgSGh}gq7uS12#z9_8*jecVSsb**^;pQ_pf0Z0>hU+?4ia~e^a7+8fOZN~t#7YV zX2#Sm?qFHEKH?4vRq0>q1?Ir+wm5>%yhm%MXMa8ttELli2f+&^Qfcnsh@qDXUi)ry z+J}ulO&Sn9XH8Jz|1B+(JE;33DDdf$+`*5|HY&*-RId+f&~))1Pj6Q2Q8j79a|iFm zIgJpwgLcFnjC*%|2yqAbiV1Kco{FYm@b144vPiKr%`{5QGMV(c6ePby>2*3l`K3f@ zHLB5!r;Y4an&Za+KCO{Z=NVr~5g_tZNlQ^Ui|D^X=#L|K1{32g*(ILUsjY`BGJY(% zgX@5&gFH%1jEg~OGm0S2WCVPa6@>^_V8Bx{+my+StIaxAY1Eh|C_H($ zf!P;eCjN3^>f6ll#d(pDYh!CSfj@GQQM^fSC^C9YYUG)zS8LKHMDiD(i%_Xpj$?d- zB7r9an$=+ck_*<;24;}SPr?mymk56$N;yN1|Ihg1|IaV|Wiod>F-VMa3_CtSZ?z~o zv;Ix25@0kjkIenf3gmk&+6v@*b<;<|U%l0`<)T7&`NoMmpTCr`sXn%x;2b{703e7D zqF~6%{pk zlY0C${pyLPLmKD1{n2%>_@u+%>aR~a8D?0zHR(&`{B6QfTf*k>>lyoIzA&fx(&5{P zJ4oEY8N?kV?jUNO9Xs89Zdm-&PdybjVs-F_TW^fmvO6&A^2>g0emH;OUefBIGD?jUgoZ6HIfzoc{K3Eah0eWZRo-|e+_xonmj z!O})XbT4L!y)K*G4Y0FyY>L}q$IE6H4!s+jork33D|EMQhFM@}>px0+$VHuMn{Dmn z4o>}_^WD@I>tZR6;6ZT&U)3J&AjJ_7caXS)#2qB=AWLxsl_;6dgtvf3ttJ(##N09uWN>bBt1U9{Z^5icq|8H(1Jr2`|aRkI21ZPkp50D4Q1LT1!@c_gT^s9y3 z!Nlj>X4fCOpv%jDoX-9L08K5^$}}=gW`e&ahyuujiGo>KI;`pV zJQj4ZqhX5*X64p`1{$&^{w46wJ6KNl9t!Xt5DL-T=SZN<(E#SsYnu425dce)(@CA|H?6^rxlpGdrX zs7L?74p&R*Z{c;1Fgcz$v0k)wN<9%b$cYQY9VG4`v^~^b{eK?!L~#ThAKCxlhEEiO z;v)$8si>(H`Kc&@#ATF5j)9CJaVWWq6P8i&=OrxTcO4;%{8TI#)qwa|YYtfqud7P# z;HsYk&wbLod27-OJSKX9y~G_P?jUgoi91N#LDCD5UVylRQU*TFQ&?hBfa-Aw%1J0pbqAOBqV!0rCKOfILto9w5B{=>=>9BE%hJ*?zh-eUFs*Dg#bi=l3GL0JLU( zA>)`F_IYtEPMqH>OjyTpJesGF*F2HF3F-nr(Aye5#R1$WuDwc`*}ryi2g}m+mC`-& z6Q&Atp-S*c<%$rXcW^Oq2ct@J2ko16cW*U_n;&No&`#|@i!$t$ zD>^3<}w{akMUa4Fwh?{i&2-kw?48@t{5v*5s@Zv8V9J*T^e?%DpCK4kmH zA8Gy5uYT`0_0zN6lYgF>(}L^V|NT|d242fP#<~5fXtsG5_t}Qj#4&4flvmzg@^ikc z-|$-nqgze+=0xiGN%MBU?reEu@=?X=j(+*;_q@1D+2qc`i619>94s6rJG+MYK+&*` zr)+ItC};V3+?x@D^^w7Y+a6Ud3vkN~Xl$o2C!89WI_&Pny3gKT*E&+Y|F*%m;gU{A z4mxc)HS2$)>KHlGh3nH-DrP)=;nsuY1l1gbJv)o7&700pnN&cq@QFeM5%vg)V|r7oRS7aNU#LvaTORg63MWktAy?oy;+dECJqsnNgY4vu|T?%*ze33jJ! z?%?wP+`(QGCgKh@d_?40dhRwW2+$m71pyXB9Ex;$DQU}auOq}Aba?&7Iq|uRKjnUC z;IipXYsX7nHoTz_9+fz0fo_h`!%?2F)N7OL68oIOg01!5ymiz4;)S(G1Kd_IEB3jr zRld@5S)7ah$KzSu7hU6SW;OP`d)d_S^|z1t-X=k~l`64;mxxK>Q4>0}&5 zT|Fmbp0ZB6ogz-dP29mpya_BFa^WV(4v@9*>~m^{ZtF8A}oS|1zQ>-UX$ z9Scu;t-Mn56658?1c+7{qYy(XDsYAO!7O7qH#lmBnT8Pt1HgZkCXHSvmJzAsSjJUt z4bJGN<4j7Oip58JVEL6NRNNUM!QpUD;;#^dZvIWHGF(~K;nQuL*L%t=j&q;^G;v>Y3CL31|{y` zXMyVQw(RlgliQv7`f!}Iveki_Qm3i)df`=N9Qa?fGRCz;bx_#%g0I^^w!x;IfTm~A z>sXzM!wTeGVvkV1B&;$AAdB<2K$X#O20c_lCMXs7#E2qx=nB>KTgYO~VLXfVkgNw0 zpw|v4k>3v0%+?cg(`q=Ai2r+DpN?mvaKHm!gl>i#d}3mXL;VISGqKuR?OORudKVtW zAb~vZ*=DE(LmSkOxPt(H2HdyJJDme0-tj7{e{3_I2&NdjAS8U0$r3+#?@U16ROfE$ z^>=;#TTe!D1P_WM*jIbFgA_+V+(F_FmIwEVHY&%#s{zzfHZVKOEk31Hoz(_U8_1eM zV@xJq*y&x4u(R4uMg-b;nd`|dzBS>Mvh=Q=HGPewnpY1qBe%HLglpm_a3(f0R3KBi zn`Lr~ed+V4S~)Ut2g^r>m9Kdv?jUgoi91N#LE;YD9`DITeKU$9cv!E9-86W!C^I;A zi!TutRdsSQINmJCHod^Pz0Fon4+;JS^#a5l1Se1;50D4Q1LT1!^#H^XJXZ_3gMYY= ziXZT`DX?o~t<$GOHNiXo2=mowe?jUgoi91N#LE;V)caXS)#2qB=Ac(`9 z&GvGOV|8n61f35rCn4@&vPAauyhb+$MSF^JUj!naRjHL-#=cM8GKZ#7a;B+yd$GT9v}~p2gn0e;sMeN5OueC^^6mZj?>?x4`7rNSq+sn|{;@D4Ahh?WrB z+WxQ&LIG-Eher@a^SeSGP28-&A+piEFMYjPJYQwY%-R&Hrhj{=mu3 zKGzri+Gj&C(o42S9hn(mC=qbA5n=KG(#uHrx()P7u!Dh}6Go0G7siPL#+_0dt2df} z0w5cY-!Uwq>t7$vIr4?qy_<91&9C>p^V;6+m;ZDu zbMLg{k^aHs&s=Y2PuxLhX^1<>sq{J>tHNImR^H-f=rVcpZ78yfVhLk&o=Z!79b0d1;_%GW&!Xc7*qqfgLeIPHn{nD z;);ye(1fonPKkZjcYKAogTx(#&Jo-SDB40XI@$v@U?|kYjLUgZCO?h(G8|0DTuhfs z+(E@yWeRJImd1~Rfu+cgfK|sBV$HepkuHnQdrLJcwK5q%l4k8!bUk*fV~3+F-_L$_ zCh|^R&yXP&`P5!fdsV^hRcsFV5sXnwSxpgPl~F+zrdB4xcudiD41+o1;{YE4UdCyV z{)*C!%ka?=Zk)y{i!lTFM}{9v<6{HM<9>O6s1`7dk04ym`%mG!yFX-nB;oW6`Vru6 zO?-jZ9z9qab@e212iccIFK~pogTx&q?qDgy;-nWS#W4JbXwnOiUZBMAQKT0jy#VP2 zA1;_az-ym~J6K9Dfb;_Y!2SEL>jg~T1%~^7-FazR z=8*BYq!*BD z`O&hnhs}_`<0IhY^yjnzfo!5oVVLZ#h&N1-ULZxQR29_&{L?(?1(Yx(s)6=XEgH-H z#c3`4lZh0fL|8+5feLP~Vsk=k7I&~DT_14=;W34eu@}?}6ygrHsGr=@soSB?xyPbe zJyC66+cP$cZ2Ya}SeaYSx9rA5z{3A*n%*%h-+h)`pE#%@kKWR9!=X~=Kfb3 zzZt%%?<{d~_WJ1S205cV>dkcMZN6|pVD7}%ZiV%=-6lOac!}@#j=4_XI}HqVj2o3< zp0X*f$C2SJ8a-PyPU&`Jwtlqt-+^1_930{HVD#3jM;}Qn%{FRX-t0JC`d61JEt-5d zen;QjE9y1%T6`Pu`!Dl9zT))l{CBeBo*s%`y42&hK*<{STWc0Bj5wbP?(<<4rc`gr5yA=e)5{B_miA-Cp6 zX6~MKEL;8}2 z!O$ke9rT%a%q=2#?Ty1zi92{|U7}Oe{r zN#jo7yI6PC-O_3!ei>q{ zzztdpjiE-wG&DS>VtJjvH^_)pEO(8p%>AM8cko!nPfOUeUXa75@d5v5_iMRZ0c)k~CO>T#8m8 z?js#G7)K!U-%%M$;}A=3g|TlNMU#2LD!A3-Y1MGrP&_DYDg?WAMGdi&xs;40&Z z8Y5gg>O^9_dGYh8Trw5;5s)7N`4NyG0jp-=BZYf_M#HAYy^uMGJ6P(Y24w2Q9n8>Z z*;EcWNZi4cBCaodHx1Ql)yf^rac%u%TB|9^s23papy8_){Ur;K1;_$qfy%Q0_z?`Q zf!x8XF{ft@-}CiHmVNUs1q2;wxYq1a(gd)AL=+GIfYPh4T;TRG)(^%M!bh+e5U>X1~ z82{!6xPuKt+a|>VKVgh(SQx)WegujHWzX26pA#cBtXdNj!b%ivgCz!?_PaM+`%%rgEdGmkVo7>;tmpb zu#{nO(hHPg82&>vaR-SzNZdi0RISt~6SOhq93d|?rk0)kY>|aonph{T)jc93HBKoX zs%F{#h8II6BCKPBy2e!WE1^YRDbkk8m6RAYHi9;m;uM;OK4B=BxP#nCFzE$IFJRE- zmH43HTai-RREkq5msgiwpnyC0UYBP})|}7?^#a5lth{kD+A~>zEI=0cuUmlh0x*(K zdI5tIHgN|l|62lwNAfEvu3a%+7wH8=B2Q?b5j)AdWbc zG-*fut}TxrO!y<&qu#^?x-(M_WesdUa)+kp-l6hEc6HY_k9@03Mzh?sF#B!!$2WEJ zUH{oUB^@lUt2b+vaX=1u>0_Wecz?uq$7HlDiUhaNFM=VZ3jbP3tKdRpkciKjFUzgRWjvR|`v zLtJFq+Dysa%}ajHwr@Q0(Y%z_Q;wgByEcCQftj}Y1(Q!&t?_G|z5d(RS4*1wzVNND zVw{eiix&N`mig4GemiH;x~AS5{m+mX&Vkg>iAwPi|Vi z_BgjqVEp07D!2MeI-fXdv-QI4|0SELHQMXr_3!z6gnzWY?a*Bo5z9yHojT4UtB+04ueDr$op`QUYRLV?5A3oAoxO7^e`|{l z%@n6?G%aN3BKmHa^rS=gcW;C$kDTw~dVk?BeKv#(u|mWhG;#chJBU=BIAx$R-O8FH zE5cp8_qn)(@#W(Vo-Ge|u!RsQSQ>Y5k+9GUa|g4Zmpgdg{U5o5k|qT>G~MW|%La1X zq+kQIb;71?UI#yD)oSrMNAbqD_6@w9w26bZV&4X{$k@Vp!KCEy`aY?eOFcI|*qfc{ znQ=_{*_C+fyXrKP}u1|JO zo)=Du+D zQENOCAgV}|YtVyex7EF-tZR_`c=4WL31Jz_9>#C6I^44vaR-Szh)ti|T_kdXUrdZM za2L7GTPy+(!z5~%Hp7JU+vqMJBRUo;iEwLExd%|r5qAfv2pTa00*08%b%frHshpDl zxE-WaD1e-8DmVrTnodzj<}QGFo66Qq?%=oMyY*S?`{V*~2g~9P9;-RrLGmLY?jUgo z3-rH*IdTGxYdxKdiuI1wcrxTiP^!3dpiGg5*NC`-YPl>9E#aW6Bi1*n#@bpq&bGbIRjlt=ou^Z( z=9T;iN^I7u#GgUfzS`={#d_=FRisKz1m7|6wjnf-0S}*t_=fgc4$6aprWozONQatbqjtAa1JIq2S&ForSd|qix0&Yl`{0LB% z)E6?2r(>TNwZG^SfYUz4d5Ek_egwoxEf8IxpHmyiDD%Mz^q=#4B0qxv8h7xQUY);M z)!-fS*GMnG#|kFyV5!nHs3fEpAiY58Pa3|PR$Y1l6L;|5o2R@V z{T0?*s23paAbb;~L>3?mkOjyBm0|(X3lMkkzdQa$dI7~)WeRI#f)(X;ML>&#L$Wxg zA8(w-VOoF4xD3bh!GV{XMkx#WuH$mdO33`MZYWG!K*ql9D98+C(hG1AP=&EkDXuD3 z7(n)L6$mQ`3P_q6=i!H|jQ?@HKzPmK4wj_rBkmwnRc!0xAY+?~?d0o_v3m2IRKBeZ zfn`E|D$Ew*4l3V!GyiWhr##%BjafZWZC~3nHj8Zht>##nTh6!a#zerv|7Tp$Fd4-fI3k_+`;||nN}u|%ibt~J9y-|xP!{_aR;xKhdbyaL<*M19b6?W^upZ1 z1<%VJyzVZ*?%4KY(}yY}v}&0m(aM(DO$-F_yt>f`qt( zN#=iqtkJ0+HMzglDf-KsQ4SB!rnOr3>KGdjW50PC80+d>{j9aUT8_T^!>sAzdMAGv zJ@iQCJ;$WGtAp~cJ)CDZvHf?lxToEguRCE^$J%FTx4%Bi(U=W?_h|mD=|5TxTk7kt zK4zQi(*47ZDT)!3S3l@|^5DJjnEB^=OkF?i;)#eUC%&%x^x@o(vTOfnyRLVKO$Fsp&;<1(?jUr+SVe(#x_AhzQ&)=dQ1G%qZ>_gN%VKZM zWXQLmXkFu#fgk*AYSIfhpIQFRt+V}qCO?9*{0NTM9PS|b5fFEfxP!zUe9jQ;yg-fo z2*{6s{0N@&<{>`Wa0X8FpuCR zOt>C1?hglaa5?tOaC>l~<&GEpoARmY$Xhd8kUUZ zmgBS*{vk~<5!R4ipu+V6Lu(dyuq0g{aR-f$mlxCv6ygrrZq4kV|NQQF?y*SRL9nba z73U7tU)%O>%=?4)X_RyJZtA-E?C*}+M}@hA7Dc&(o(AsVu7Gvvx@#9W?%?uGlXlea z+H&4O19xys5$<44-L=gl-|7n7!8GCyzUS`|{?YojLw8w3EGOAYzAGB(<_?)A7<6HX%-cH(7rzndIA4Fb|C5PAdN!48H zx#_{)>`c#$W6IC2%v;!1f6?0OoJ!&T(TZiv;a^1hPQDNJ-#jElm~n4*_!0*R-|ZMn zqrAB^jMJx3h=1W^#DgRliS7@{ng%km7Ow$sY92Kk9 z%2Of~{v#K02VJcbW`DhexP!zU43ns3+6)s|Z=<`ghf@e;h!QYUxd%|r z5pF9?Okp%)1UUMb%5{X^jj5bdam=@=;205g~OvgsV;5q~R(JJ|O8-hOsj8;+14L0NtTCu1!}|{B<^5|^@RKg$d90WO$qrC#1t0Jb8aS}K(^6YiS^D^t$-%(U_rGl zd|ieY?I&64YKcqgJyr=O6WuGwkAVCL%A_&!-83B0s182@6L)ZV^z!^qUwiW}9Ht@e zpz*T}{g4I70%QTQK&4p#{0JgzAa`*6z4U0Ou&a*m4U<`4f9pu|Q|t9#5XXyjxfQI- zB|if4BOpHlfu{iZ5!7hEr`R0wBlvG|2Unf5>R$VG`wY?xyd-*oQ^Xx4?jUgoi90A& zD>cdlE$Ic^12h^oHBJs<+ZfZ}Svk!jWMnz%7_r{I_;4RYPnOFKzA5V1psq1ScLMi5 zGC8Yp_e}5HsZ~rko4~4BPy_$llYtQ>N1aHlH!pr3l{>0MdI8c46b!tUC@JA1jHxB# zKn;(BpTr#`?jXdYD?CguY*VdtwZ(e3s&31S6_xY?MK4V-tCA}-Qdxx-?_LX?i&)>b znl4W1ELY+V%H`Fi7cg-LZ;F*8N38PbEz}DTcd*ii$!N!90kQyD;6HBx(hC49iv>J&quZ<4oMAPKJ6MvgkGO+Sjj$@kK}Hq z%7sZxg}8$a6DOVfXz8hqxIY^+XHjim+cP$cZ2Ya}SeaYSx9rA5z{3A#n%+4p-vE?) zM{58|9q%(CtM1D4(zz4AIJ7-%5##PKDY%NEgPqJoq8&{xC5P?Y+vTb<=kIfVmoMbC zv!CVq$pF#uMK=N-P1|DT{#P5n8NR9SEOBx6`snKhIio!4&2;E(zHmWc?!?z_h4r=F zCOtTKiSPH0xlZ3Z4GeXR8M>GJzF$R>2_qcezf=Bfm`Ps9O3q0^wz6K zA4x3DHfmko>^NQeSC=U*ntV8ZN8j8l>NWLRd>iolFY`aX;`HtOce3N29*SPN)Z@26 z$r|@tYZh#ZUNgtXcUt=u0~LAOcHC}#cSN(+=cDXA_MPl}zWe&^XZPj4nz=9RtI6#z zFBug*VN%)~@wTISM4BaR`sUW;NB&2??jOg-*rv1%+8&>(*&uo3S9hf4;p?w)lnKKM++yKWH<@fHDr&))ZCeC}=!nL6x?P;X|~ zkGcK)gmAKnPG%yVgGdwrH5>ULj0G2Txg<2%Xjt72jE;P1_z^K;EXvquShY+d4^yg< zAi}s51qN~ZLqw1QHsWte#wIl*n3c1M5-nnetQahiBP?Jmz`t=Nq)_50lt9ciCgKi4 z%f`1zOl5Hgk31K5P+30i;MMYQ2YrM{!P2;ctAvGKm^-+jvA~k$;SOGR7hrcv<_^l6 z6jW8>4*px@T4L62BhfJ2@Bo?@kQZq4M%31kbx(x0?OJPe&*^g<@d1QFe{7(3yyKGN z1q~fO%@L5a|3LrY2j(4V*XyW*$H=f%6oldhQsL{42K(aG3u&aSX9ry zX5^n~HoQ@`!yny-@XtH!bj%!o-bc0ci>PlT@9s7pwG}Mxc%Qg~#2qB=AUw83O#J|r zinxO!jJ0mv`N@Fjh*ugm^cl6rYr~@jqqZJsI_A!sjobZn?fTQGHJ%9&RV2za=s~pG z>RwaUHAsHEc+aqeu#9C7b10^dYoN0f>m94{jCk@=eVvV1 zU%xs}q(I|ZPiHIEyH?}5gtA)iep;;xC3`N_eT8_S4RHsFJ6NJfocsvLkAVCLUdS1V zfBF%3P=jt22dHC-US zn^s+Z1Salar)~b5qw0p*;0Ob82l0yuC9(infGj{3s7wohAHnb%$Q`r`|L9<3^9B!2 zMNd$t?Wx=H!`YktCx9I!p!bi5*x54B>|>m4EvWcYL9oYyx*wxvW9EGUq!4#o4ka-9 zHVa#!cYwsW47~<4;0d6FzvM@N0!JKFMKM$d$S8Thgj$3ykZ}Y9*W-LI$ox6mqagchPZ>o9VG4`aR-SzSV5@2figuJYC4EJsFus(&=L;1I%0jJ z;zK4?rid!c$FkSCiuE0<^K>BCwKt9mLk#)e=~`Byfnf9+w3MCwY>|aonph{T)jc93 zHBKoXs%F{#iUeiP*a)sGiKNO%a5~e(gs>7t+hB=8#{Sz^LW{go5Sdjo=vbi1BJLn@ z2TL3n=i5}`4w?e{iDPM_0a|{p?KSoxGkQLoD)(@wy=3 zhKf~dA-)HE2hl?40ZlNQAQ>aqhO^}vHsjDb0!~hUP8$%&Cdw3s$=-^1!-UbxnU`^3 zJM#=u1pK1x0;Lx5#%Zi3{*ZAQN;H}nr%}3rzU#a+LkZI;&A{@ZkWsdQecMrxdHG3S zn8xzB9FL9C51jTf&g1?)A>)2{NeX|CuCR=khQOpKQ<6Q{LZ_S-SNyY3kuW9|{c#8` zs9F1xt|#f6uu@>+D-l19^QZ&Di(k+9ixmYgG$4Dp=fmH_EF@!MiRnW^gjnyj+GFCxsXTpus zSY^@IAD3hHgUnYq+%MmbwSZ~99pl?QY`gp+^X*t1Oyf4V{}<&Bj{PNZ#IJpKh2g%5 zI|xQ7NX5B>Jx)9Ro_H?3mH)z-zlZ(!(@k%)H~e@GQw(f4z}}*j|Jv@WzlIi8~0Dk7*D$MypjSVv+n3q*N$asWvtUkVh$u zv!^mH8D_oQdyfTpOn^F3gQywC4IYvV2xJitgNbny>;f88scr z*v(?*gDd>g7rQ_|)wA35dmHQ9T{kSSGCnQ)z+%N*wUf1Y=+e5I?3b8lo}2eY?M06s zI^Mj#?xcsqYG&nk_Uj~X_gWTir@VT4OpnF)G!Mr#a(i+|>o@bmX|-#w9IVYxZ9m}K z%|%ajBKj3T!af>fZF*rAF%63nXbU=m&+i(}LXya;IWpBC zD76H-sQmVfrEu@K_8vS3un&tVB5Sr0k=fe7tei;PL3{@w?w~LC%?00Kh&$-Zo}Mwe z{iW}Zg$vsgGx&;ShTkC|;oVjS1=}B1w}XtYiI^B0;O-fafW#eCYl%BpQQW~h9#{4c zfB)7Q@*^nAkKk<0;SQ1?0dWUq&P8_TLzUxP!zU zB<>(_2U#_%kh1Op8V#Eo_d@0%?jUgo4I23p0k=E?u~gqI@p!RrLUFILD)Esb?%?)M zE_qC!YTH8o$%9>2n>R(h0Pja&*N9PZU!&*`S%54+79b0d1uEDA;79O!4df1f(q)|b zukaIByS?t!Zf48afC1kpEg@Yl>2jfS1UCQ<(4#;A?SZ0AR4HJ_<-E9@pT-eAUKEN0 z^O%V{$hj1dA3;SxCdiKfxUOTt8%xbGT(ukzRskGX4R?RS?Pd~j^V4{5f<%(LFUgO< z(1w+(y&^w?@;FfO$||gGFQFd+KG{rsfy_U?T(Mc#_y^Jpyd-*obHp7a?jY#}NH0Ko zfqyeRZXC4`j%^Wlkhp^oP`iW>W2G~)h&xzffZQq>+Y`otwXM$JVqEorkw%JBNO1~F z7ab9Ikhp`~v!yH*MUCMOc6j(YGx50kbD>^MM@0H0j zc=Ay-atHHD;0}K8oBGZY7w6oMzHX2+%A?*)hu-E37X;=`eC<|PU)yccgM&Hlpzrri z14A9-MrD|%Y>Mk~WO$24&lZhSx*eIVAMO2j;MO?@N4Py0T~XXY<%nj;9c;SqWasnU z*Ka?&FZb2VePLfsZhv{nD2_WAPuxLU0XG%tPmC1m<56h)vFnVfS1V;06FFIi$PD1yAxP#{Ya1VV7nWv{Q;z1Ibf~n;RMq=uh zz<^5xoeCm1BjCm}lIH-qmRCv0wZyF5#yuO+d-DSF0&U)i+B&lCiO{xPYmM$XeU2kO zfKb?u?ZA$ATyngO%soe#-+!S0@B{OXwCi=$!DD3Ds&Vy&xGPv+DCwB2O@_ni9SrQa zQ5U1G+J!~+{A))3nP$TqWjp-QZ3zFo!%oM{@#lS1OTUQvM)K}%<563|;*R%|zRMXl zx5d(tyNNsKP29l;u5(Z8*8I@971kZNakwlJRz=}-p*jgO`c7F;D<^u0MUz#AYS}l^ zIcT5$_O4HMPM#NHbKw5kev5Al?}7uzo47tS*2k8xhYv!pO{w>mY(V$j2WDrzyS4l3 z6KT_}7u!9X?$hSf2>;(UPWL-^vF@t7rJV%6X)#uOXohl-CBK7rG<;RV|0;0@i96_( ze(8R5^PNrOI}&#gFo_)FnWJNef;@|3oFYlJwYz|7>{O^6#K&dhO$Fsp5D3y-Vw?*V zB<^5EaR2@DmxiopjKB`Tda4h4yz2389g7ogCde7Y88fmcdqVhM1BM@#v6#Z zgQZJ=$&bLhleZ}ldvHM;Tf3BIjr<6N!6z%%8YQ)>*424kgl(!-DUX}VHHpzlYG%dnA z|5C`pl!5(;J4oC?;ton61R@{KP{U6c;~E+R7=kha^)y`AaDncvKj$+L$R^4ZhDqWM z5_b^1s5By_XbkuQNMSlvBoQefCvqG?b&<6ijx4Aa$)JQ>6bswM8J7@u5Jf5EM}T}_ z@*{w%@Gtxb3Udde#x}LLZt^|p1zr-pzy;zC5_gcegTx&q?jUgoDNdn0D2bJ(uxcDb zF}{~ppi%xYrWSDrOO!!X$pEZSk44sjbr#QL_ywS!f1_m-}~qBwp(_2cbh zT-#Hl+pE}|n3}~MEJ@c#dI4iqLh{(Z(Ru1$;u|tZ9A?~0=j$e|)St%UEQdUzw?%?Le0!umygugIekfe-< z|7S$u|7Wcx0y2;-SbV6`P@>?>ra}=Ok*OPiGtC&bM(OAV$e92hzx_V7HsB{-#Oa6leW2M^MAmG>y{>C*xYs8hbBhRGd*krb`m=~TD3eOG zGNpoN7Y0f+EMp(fCZKahe}zUXQAiQM#xyPC^79+x)$a%_#DeP*Y}gTAH6|6-9)h)| z>ynvYN!MjcpG;%(o@2z6s=j(WD00xB-X9+B^ZluS`4{ip*msxj9;d^3S$BRiAUfie zh7Em2t?}CMXu+th2bzw#^Je3AKV7^2G-{1!f=Cn=}KVH0NSVCCF zvWM|otPb~Vw($Kg#y+%elbf16c3tb0Q+G6eqT8E2bV%M4SL=k?UoS~A|086LPW7nC z{jE;XU*3#zcz8Cg)v{N|*mxKbl+)l8uFloZTHCAT=(|76nl7$)@`uqwk7V9+OuD-| zDDT?Cd3F=qe$4n<+3$*=L&iyF6_K&vfdUsfU<5cRQX{Q6-i96^3 zrpLrM7QzG)cMxo{1-eWwoOQrH_HkS{j-xV0u!;iM;!`MPy5pU+Y`PYEz4!~AFk!(i z9)hlG-IDsprl-SOP_(Y`$aryZlIIJ|vGm;Z`GVAOe%7v%J;LMor%1Y*E3{@MLxAx)LvC^dqsW(231$tz*T-c9~+8ikT9SzYPwLn zgAz!b1~H>34KgmnP`}~EX{@q1c8|+3`$0xM7naBUViaP0M{fZc2ljC}YH+YDF87Cw zZ4yq$LB?%x|F1*F{qXG-e~uxrOxTXWY$3fsJ&D4MrMCFQ7sBgiwP;aSBc0Jt^z2`>|ryCQ>aK#_KYp+#7BAo;-o@5gz2Sg zuVQmX)hzB{NxHsb?HJb(1p#2qMtsxz;=CQI;l5pZXn3$n6>sNFS-%%XxbJt_rKcsm5V!=YvK-?R|I!3?&+cErAs}23zV#JzqMw; zrsy?ue0-<1UolXTw{6Gm)_03?2XiZgJNS6Wt+|nzyJww?4?dIdu3LmdyhT9Zv-f=& zpS#;brVjfe)SDUhV{Sh`AvtQIlbJ{dFq8ne*2tG*EVwqLBsAG*Sltd(JJ@GM@eU)# zqKu7(Rm&uDq|qTT$fYPSh}$0`f)ub3-+yInQZs^CIh!caB4)^n!2-k`^i;+rvr?^> zyTOR~5xJVVgZH0{J2 za)kN)2l@{`Fz-maUPm1~Mux2#S6|o&!umpqxP!zUlqe*LtePWJ4T8!++(9q`Q2a!U z75|B(9AwGwz&jWo{2l?%buPwx&5W@kA({xVl${IX3fArknr8I zjNYg}tZoOH|ANZc0LV|JQ~;e>Q+7Y}2yA8QhgurnmKnw~PN|e5LD`}kRM)eR`H!!R zbAN?Q3w>PK8*C7N5T=$VR~RMod*H?}5uhF9G7X2qQ$de-pfVB9#>xWww>P1iLEJ&5 zf@2AS-K9!}0(!e9`_xSC;QHY~J|o`GN+Lgkvit~ss5#t0@*^Pbpp5(o$d7>h2*{6s z{0M{|+{VBY6=)Pb>^BOx8I!*)C&-I5R5>HEI<|@3y=jW)dJv05L*Me zgP-o6a`m-Kxq0o6xxKd_F8cRV&lwTKKC4FMw14{IH@#m{V|h zEX3nZfhAIi$}NWy7@M1gtyob4Y;RZ=&+S14B{i^|g)MQ3Ld0*I0Bh8+XP-h#Rj^D6 zM^eLnQbmS26>P2M&V_}2;gK{@hT?%^t;Nm^`4JTE_;G?0@*}`8{JxNRUKftf;xH;! z86U_vk8UfTkW1oq5qGdq%S7!J)RX`Jeoy2_@L%H&hKKm76TMG$CB48)q8GSK+(F_F zl3sxH0;Crpy+8#HjT3i}xP!zUlmk>D#uWK4rkn;L?w~LvF6jkGFJKT%lu0iwRf9#` z!5Y9F%sFs0!)%lrE7S`RcMv}UQX&hG1;_$qfy%G|=>D(8{{FnHJrZ-;K*n;o{y)b_jm;Tdv$%sL>H3H}2vrl`<6kgN zVIl6I)s#=4cHAXxi~F-Nt0$`MYkS6Kk&VCA94m9n`Ig<72w3>vOu!wS-Z3lRKz@2h zVIV(+Og`8m==@(^>*O$Hr54{Lmxj=bX%z znl2%mS5FJQH}RCl;TNmsTlQ;qZitIaTbn7lyLrja+4hY`KAM-(ddl%Lao5JrKQPl) zzhLr7t2KU&v)6z7`f5p&-xt31RgBZobJ3z7)-s=3)o0wMKjW{)|;t zvtGIW=uFhC?0$_`zg};7(>+%@<^Fx`rv5#DkMNJyw;j66B4YW7y;H|oWc9HL`n8tJ zuM^KTOAWcd_<>#4ptE;Q! zq!;22B0Yw213(fZ7KsoKgNbpA8>7`0L!`t80XQke%9h{c96UD>JcEgG5o{8{D&mV4 zehR8g7eiSQ<1Azf_LC^$<*b@%Uh}wve?1p>@PqPk2c65q9ULu03YNwlJRvOf!rZ}q z&&wTjY4VTU!NpL7xP!;sB7)c6I6RfOgQwOdIz>Is{iey5;CKWUrMMn5#ZX;eV7 zMwj|2&I;jM#wNn_GpS|PGQ*=c%LrYpvoImfEz+}dq*?y%EAK6KvzYnd3jgRu>Gx0d z>^A-0#`<>G4GXM{>_rS(pqQ(6vK9|rT6dHE67$S+^S-FP=+Q&Ro7dN!^l(_sto+V? zo#gFa%fjuHS5Fgnkhp`~i=U|$;skLA@m;uBn$jopvf&2JaWM3aRbM?G6glWm?+*|6 z`TkVE{EK&P?7Pd~pu`>A*)+Z*aR&jD7^e(WrgId&P)RDs-{$y)t=$E^3#UTmAhZV? zZvyqnp&-J-F)_}C3WiD4GHr&b}?egr?&9PZ#1@*^NW0`emuKLYY2 zAU^`~BOvaeQa)78vi-T(c*GsdfY**HHwgI=kRJg@8Z1jVkM0%39rTIe>80dHPy(DX zNU3JAmcW3WNM&7xaxyp`atB3xoWftycDZGz<$NvlBd}}4sIUwrvH)3tEI<|@3skfP zz>h#$1G$4G#YHCWAcjH2gh~*hwif5H2ZPH{RfxYhwn(~MLnJY@2WGSfW(;139j!@y zv%@TO(#)<^*zqGj0`emuPAaumsI0R^zCN}%JOFi75jhS;vegu4sN+|K4O5jJpI}vCNLaD9!=E?fc?!6J;^u9Q6 zhibTQmmV5kC=~;?8DMYG%75+lHP%mudC$0-Hq3W|pXanOf2!*E?Fv|zuDf<&d%}%3 zuP)y-X-EC8E$1Cf_#@fFY2p^$8OuXi1KW?>q3OAIsC-dQ-L=gl-|CvtEH^F8ep~+W zP2GH#zq+}TQ5o3@`dvGkTuKhxxwp$zWzOH{{4QU}YiB>p^^*ajA!6 zelvVi-&x|~?Df&t4RS_#)SKzh+kD}Iz}$(i-3se#yG?p<@Dkte9dn(&cN!S#7&j`z zJY`c{k0ZldGcS~U4^ z{Eoi4SJZ3jwfHvR_h06Je8uV8`R`=MJv|h?bg9R0fs!@ux7IA!6uoASkMFehD+Vg^ zw(Ypx`tFEktH>8?-$>RkK0z$gl25%fr{BYNton%AM6>_3_5ZL#{pA`Rl63LvGED z%-lWeTzv4Egm>K{9O5kk0-wF_%lO>g9x`><7opzFupe{#`3Xg<6OJn#t5n~_0h3JXpL-bgg`$K_GA!COK6C)lZktqy8nwk1VihCP( zgf27@aLUGmh$6D`40>8!Yr}tYBKZ+~mosc`i=`uXlOF-r8WpS70?^J--!4GC!H)!( z7+W=KAYBiVC_t{tG%KOb8MpQhHx|{P+gCg2$MZKDW6$p;XpCGtMqQxIod~6nu#XA$ zZVWyi2y<;2!CBbzyN0unB(iEwI6DYRErA<@-=47)?j6_OgZB#l&WXsHT}d~AzEc+L zd7_6{G+AZ1zxqZx2kq0}-u21O$@4;N4%}bcZ}DwxM)30$zTO---o*8xu_ zn^NyB*?{i556sSbcWd|6C(@={FSdI&-KWi|5&pkzobGq-V%=4D3%4S$oiULg0k+S@ z68RB4=za3wz3`a%=Xy+CKked)h$$z&uKV=i+>f$r|7g3ecZcOSPNg22b~@1AEB(^_ z=H}!_P)wvxegtq=ZD}HKw6zyFC!klZ$%Wx5lrpu%PFglyi_RGM3!N}w!7d&G7lyjh zBvzV&C84+0TcL&ik0Q~u&$pmx-GciXo(Khcf%pAy4zBm`^CRR(P?jITRq`V^suPR# zw#DPFg71&Ka>blNQg%aKEwP^b2*{6s{0PX8fcyx^kDziNJ^!eAwX>fsvM@^%>!h`+ zaO~eAPsfP$_QfB@(@6j@48@C>{aDBcON$aW53wq`LhG=JI|7UqvN0 zmfkpV8ubEVa38SkY@JGe1O*>aXo@UA79b0d1uE78;71T&1N{iLCru>3d}SX1;2 zQUv^>sZom%Z=A*ha?DD|sK&tZp^#BOfPLFhknz&t^1hI< zEH1}mF(Ir( z(KcA3kg@WZU{;d?ShE;|M#P<$G5yoLw^XB2E0aMxVb*>{*JHOjb~w87{p@FFBJbq& z3>jjPPwf@8R~6h|kspCU)ukyStTHO7j6y=bjlgyR+c6Xt^6i+HaT?p7G|1TgU^^3T zoW?4PWB0fmvma!>9mDxF=>=XAy}&i%4w7Dg^a7+8AiV(T1xPPIdV#9P?5QQ=K#q#z(zUB| zTsoB1diT?6Rd^z{I&-n!x|#;ak!_AAVWYDW>z%9mBnHWhPXh0th$M-cGY9Dfv}~&P za9NRll4U7s(<+hK5O=VHkWR_nH25ci)%H%;vI>n%snFnUBpxr;O{nU-$fyj1!<$!U zQ&Qb}0n>MZ*V>I9_G-_QmiWzq*9$NzJ8)p7L>3?mkOjyBWPyse0OSZA11L20!}3*}gm2Bz?@QZxppq%fTdB^8hp zxl%>fYM?A`ErSwrQ7mj1XIwItTaIhB+`bjC2Msqqj#Ypk{NSf?z7}L0;2`dxVZa7$ zf&(!)z{3yz;D8NgT#g+r;tuvFy#VP2sJ()=028(ev5>Kiz=ZQjkof^^u7BMk%F9i-o2TZE&)I2es(aR3=J-$vmwe!9%|DmI6$S=_;rbbZ7f zgv*K5;sx~rb751HAHjE{yL_8EV{Hgm&4@b)rZJ`B+(GM8Ju(8Wwr`&@BSEe8xzT;{ zZxfbQ19xyc&mH{L$Q^XA1n%I5=j0B4{!aGjvblq)WpW2syf}C8#|0+t;8Nlaa*<(; z0aaszZy|0~2uv>(6@NI`_10%@3Vh6~!xJcMh|tG};-i zOU&ABgt>(qh->o#@&aw%h}t@`?upR0U2Bc*Iem_!khr$v9hV$0BXJD_GVedofB1oU zN80r|>fkXlY}L5>WgSh&WNk7WPHzlIKI&rBRlBgLo`22AKhtb@qilyix((rrwZ9Hl#Slsb`5^)E4RXA}6|K&|U+(8an=?&wmT99dQ)X9$U_Q$_8 zGM2$?f&_q*$P;5y;r=@WYw^_(Q$(hbon7HjRN@X2cTfcVBrY)ya+!uh;i;5r?LcLs z#zeQf3Ed0_zL=v_34ufrgoovbI|z2EaooX+!#(d$@@w|LIjh#6xJ-ToW%v;=eQFGM zkm*Z)1ms6hL?73n6gg+*Ga-dwF3@1r*V%~m^^0r3s_sMzG_Lh@wqm_&HJ*!5=ju}3 zSBMv=)z#G&>)oouDkbS%ovZsA2^m>VrNG>(tnwO_rj9z1SZ`kZ5>>9+RY-Sr(A5#^ z8x=o;Dzii|tCA}-QsEmW3QO&Eu3~-1>O7rNV{H?6u=@CR!uFN?2okj9M-Vf%kW`)g z2vUkzq;R`xp>q-I+ZJzEEB8So>@t!cL6Hl@chgY)p|UQ{EK!b8OWD|tL+;@Rdu8*#+%gCyO`?E2dAgb+Wd(md8O>e6OR#ujaEd7}%SV*aYEif%^ z+UP8!z-wOcv}qC3hL~ns7$l=}N5>t|-?*FA_i3EvUWXC&`oHnn30}iF2u^3sz-dK^T1+4Z^a>;IeYXt{D>hx$8v_$&6Wuz#li{Hk-e&t7eATW{_j z{~MS_Q9$rTmEkPm(^5vi&k|$ zJUifaXrqI_ocug(Tf0>gX2vbLJhuJDET5n6yx!mq>znbuSH|s0JYfDs@`PF*Q4!(E z1CF$q-Dz`z=Emluli1#)Tz{Ybbb{k&TmQJ6_s1!h#p{n+S|02lI9WQpxx!)5#I`@G z-G7hF85ra8_V~v6NB*|I(z@$=AC2f2{hi2qV|t%^!$^%Ngd&-$vYNt<|%|CIV+uu9&pMIx&@Xj~O*N*%4{j0rS zi|n&{{fhUOPYNDzQfimiyXn*xR~ybvY3BT(<*!q=O!>_2PM81P-QS{@zjVS?3po*GZ_8H2A(yf;-X4Or(R05C9qVx{O61r8?E8 zq!8(&VRbvOEBbH24}?g^qKpmDiDeRb7^ta0amBb4ja$v_4+B*zU?cPc!^GI6W(2cx zHc_JGVpKC&AV*lhHXuHJASk-}19ykh6-uGRQ7FNSyG>E78EieC!5Tanty*{>`kS^ zBx;#9!^#OYo^DWVITSM~Knwf?@WXjQprLthn` z!%e+4jy^Zzlt{b2OV`heTQ6I>PxoRhC@zAApH`y;S&pbEpi`t7G zJ#@Tzecee9htO^$ng@iu5j5W`y)`*yfMVoUVR;yS}4{l}d52Y`_mb^DHW2hV4eJ@!are!KJMS zn!bJK&HC+rx_13()EdvRqN!m~j(Z|y)@tHV8;Eqwor zaSyHAm^C%4?@=H+CB2Q|7pGGFKrWnA`NR4h z9qxH|@4M=7-Nj$s8TiNYr278#hw6uJ_WJGivtg%xR=5wa^zL~0{N<}Ze*bu3^O(^= zO_yGXn*Msd){b>g#ridA-OStkGmFW0&fV)$tIZ^D^C_C^U)GMZ@(n-z)*1b-1E;5- z9VTo_%%Edv)(lPz65eQK^d|jbbvwwWPMZw~^HoX(D63GpUq(Oj2yA8QM_L*qfiR3` zoKh*rPK-r2=$)K}%-_t6bD_?W;UG*cPp&Yc_V<9him4}6x*q`x9ftDw5qu=B5} zCGigJJ+x0L{YQ#NVDuwMkL?xl)zxRq@WVRqM_|{8Q5nA>&<|OFEI<|@3y=jW)B@l~ z5K;sE2wqz-DRt!hw^cDqoqXpX&0oE!_o}oB@E?~z+<U0at-TiWdaOZkj^sx`egx!4AZOJ~b5pbhgZ>sJV&SZmm5pWNi^pF$051-egt2a$B)2X=ps;>AHgJHp%?Ze&_1sp!8f4dGimNh_9F;!FOWBrAHlzs zP$yDQ0uQl>{0Iy}a$fAuVd=?_;P$Rhc21rbVsqgB+J1{~WB&+!x$yhaf#XeF9~#Bu zEn)tH&}&oby(JsaefNRcS?_M`zWPMkbnC@-&!+pdIW@xnw~f>N&Rwj#>aI}V4O^KK zXr@Js6(19z9G)WI)iT77!|z&5{Q#8;A_GaZ5Tl*nN1#N*GWPL?SOWbO8m&YjMejhS zX&G)L{Kn`-QX_&AkRJj05nv4`KLVj|z{~GPFiG00?~EDeqREe-EI)z)2% zi^EGRpHVF&WjEB-66?v2fcywb(SZe1JOW87J5E_lQ^|vFDIP%~WHrSj;DN}(R+i!s z6b75)mqu^=1FvPh)3vNZ1Mhbl43$9f2q+!_S2M6O*yyapdgtO@q{`*HmlWs4x|HxY zm3t;e(!8)uwJIh2O_iJo`4NyGf$aI*E&uC&1atX#1kpAlXT{IDvK93Ls^zAU^`0r-^!hUdxZum|<9y zTUO8bi{qoH-)|(CN4-CCwS?QYfq5*4<#9jcN06ZG85>b)fv7ktoZpJB$8L4(aCGJS z+0V{I-pT73GQ=XknEti^lE@EF2OCDUO=1Op!iXp|vIt?jMEG9IA#();)Bc>#Kp>ka zQy3^bAsju}WZdi8oGTHSvdx%h0W)iE$dMCgSXHIc6nfzFLLC zG)f1sZ#xPy@0QXRrm-w8$75sl#AzSnJnr8UGVX_WRpHMOP zV^NcZ`kn0;SWaNH5^s$=fG}k33$W$*NtQH^KeKXSY|2e%;tmpb@cFre3zQ2L&27$e5VYxPx6Qgge;gdAWmc{xf&b%-zuSz|$x0AaMsztxI%@ zdYt=BlP$+1kDh+gHlvMxXnfPCfM$&@^;4WJjr4}KXHv_oWrpzR#2qB=;KYdlpq#AY zJR*GhMmh)W(-U_PZxeV*SQ=eDFgQ7r3QG5qD7W|BE{~*59MSSK;+{lOI7DA`50v&EXD`9|3U(W#mUdegx!4 zkie>0V0gO+Xf$kUoLt6gVoVUOn1Tq!WyLEdKLX+o8Zez@)e`a}AU}dKf}V5LgSdmd zpNX(dCGMcf{RYhfm4v}p374w&660NfSe0Cvk;*Excq$e;7qPx=b)8D7ELZV(v2H>& zofTNcTxTxUTUXQZd3R4#wWzF%GfOHBKLf`@KLV3p;E?0;4nJJ(_Bswv@qPq$jTjZo zQX&hG1;_$q0kS}aTLAnB2Gv0B;K!G@+_=`!zr&YI2Ybgh^38wT8rXt3Uc~XLV2)Sc z>@W+RG_z|JcKo=3V&V=W_p2{t97@MNFKT~zUKeo(L6sj5IYA^5jSovsNWO$5&YMV$9VEQ~=>(4wg@2BZvh{+(9jyN^uGcNA)DVK&gmZ(hHd66QyggNG~9l zSC?ME6pvs;der`|fA<5)BnI746Rw*!IE@+#2tjoiSO}okY6B9VIl6|cfY!5 zZQa>gxIf|!f)T1Dm4iE&)PBjv&b1!R7}q)3`>b8VwVwS0!%N@}X3g|Uj{bB?uczuB znpbvx?)&=x=DS#~T-c%h&PM)<{gW)8=|8{f-0ibho7;4nd(eMKPxm$(BhHTtA9Z+! zEVG$?{g8Z*k0*b*4cJdu0bD_4*CgxO2dkcJ`Mc>~R#V+ATGjdR?10;$jSl{D^7FK9 z?N&{g8Mo;2*!CN#py8G@@VhcOvVJ>3!}EAMNYEy@kh57cNZRnEmBqix}b#2D5TDQKDrzz)%CY zXgP4vuz|c+=3gJZ9dOU+Q_&~tKG>99c)hZRSDy3Q* zq*NeCB{oPdlcq4vp31mnnDuh+Jr;b^0@R5bZWnIwFk;{Vgr|XtaTDwUK(JEbUaV~K zsJO{FI9-hGiivR%Y!bmL;thu^DEt&2WG;rXBE}iNGKaHLRyLN6?^6oldhQsL{ z42K(aG3u&aSX9ryX5^n~HoQ@`!yny-@DC#FWX>Fa-bc0ci>PlT@9s7pwG}Mxct7d8 zoMCfYEFHOYQ|)vTA&MSPX(v zOW?-fw`VMcd&jl+pfTs~oQSO1m2?y6J7vM1i8~miHspF!QK#E?LzCB^Jht+O^*cJ;^X}ev)#18}zq&KwdMq{JQkf5si`G%H~5;33{4$d8~bKZ3zEhdW4q1jHRA z?qGr9wXlX!pmD9IvlZ)Ii}PcuEF8TszP3v#fxfHkWO$%WU0rRl-mN;UVnPCX2Sp@F z)Lhtc=jy&jLd~m_juGqatHU%#DwL5-<){;h_2$LTV-TyA#KU*7*r2X4#;+6PM<5SM zVx=jpx^j%dmvH)42QY`>}1cPfJcW^@oi(gl~((bs^Z~bcZzVLp= z0Ehi|i91N#LE;WdK!w073N-wLQCeWs5EwsJabd#+y0!j_vC0%SkWG{+43oVT@rDUw zY;k_j8yg(*BOpHl@E9OJf+V;Z_!|RX&c;DTe*sK5j~Qj6pjqRVgASAY2w1gDA~$LK zxQ58s#+0i)Do}Otx*Velhi~u7Zut1i1y_P=$52ynnz*05(_*aR(0-rs;u!j7UHfSTdGIjkAcc zFLI|DIB>)r#A*bIxPxPQEWW3CIHr-?lRH|!nIBH8U3=wVZGLL|0pD&eT*ZLsXRKnV zz+|jVVp9>*ut+5%?%=Eku5(Z8*8I@96*4PP1&diEw5V_z*9jAG2RUe^H?METoDu+DQENOCM53@L*PsW{ZmWAuS=S)>@!~zh62dZ;J&fOCb+~7sqgzx})(E-QMh>L-L-uS{t~7e*kw-^{C1Htxg8!*x}{PUyUX|g0lPwhSVJHAo&pxcaXS)#2u88 z9|8Fh5OCxamr$J zO75m1?qHFogvUeUV1~-N3Q@LjyxEXV+`(7gIeS-|Yu{7oM_|{8Q5ilV&|k6uS%54+ z79a~$tOdZ2U`P$*4lbWD^;G)>AAOWGIrpdh2lE%qp8ViGaR-SzNZdi<4ss2kEBqDm zx-uo%gDrH*X>rB-@G4d={Av}cVbz+L5C|LFHdvyNvGSN;R+9q5kue5wi8~((^`GXw zr5cr5nG8`x&DyW%dhAxm4o6qMpZ)Ai2V3y=lK0##rE(hCrG&>V<~xP!bSK%@$% zrQ$+^Vyj?!*)pJWS@X-KcwNsJu8ZOnay}g;gLhQH1tq;e2DG9Ykrw{y;6J%YDN2Gh z(hF3&ULc}&aR9!6+(SMAcktNE zmq#}q;;`|;!ov1{A80$T zzkS`!X4P9A8+_V0Sh;Vq&11tyKe@iV<;CW9f%6Uo59#LVyD{?IxQNk*X3BG$IX389 z=(Tpr_D=x$gB8FXup>?Lt}I>sVC&!Be@Ui!TDPkE_L+Xy2R1%%^TbDEKWVo*dsh6S ziy0j@<^}w6V|c^yw$~EcUmCY3X}{ISDcP1@F_94|{SLR78@M@9`}5`_li8l5-S5wM znC-k}+oOv|ADwh7*l@(g=0JGJ6d7>`i93k52P34iAV4K9R3Vq8O6&oJ!+3z3)kBEF z>V_pDF|PQQnYe?*9rQb^R(h^kxtuw4Q)CEie`nt{;tt|y7{eUzTHrRv&(xwLO=;i$ z@L~JsA8yzEh?Cc-!K=qLs0i>z+(F_FN|n+iR>R?`aHO#WNMyW3maz#xvDHORKU=PJvdPaGzBxR9R^Nz{b;Y)Vqrfooe!Q$d4e< z-waSA?qCU682J$tQ;3rv0r?RaHS+!gdq$NzQY*Jj6;BfDvrD)3)xuPT-o~mXU6N|r zkHD-KxbM7hg<;-{t8ltl47fr2F1BgJ9mF>$l*j^P0kQyDpeif?egu)VkULmbTx8;S zkuJBAb-BIs2V3i9xk062b>v6z_;DmOIOtk}GK2)vGAJ2E!H{tqD9A(*jf-2xs+nn8 z#(gTtwve&>K*%_;ieuZ+kTDP!Zto2l%i?wvLf|wiE(e&_@%TQF@i_bxD=(NJ?jWbu z&j3TGf_gs@J~g5=Fdb_6`K@Z$rUFI3MkIxO#x*sk_(!cj3rc?t_g5uKhb0*-r$I?2 z8^X$$!gV1-5yCKBwi!b0#su5x1tRlJF?(&J5n6&Wiw zX57xhGx=q_QShd~jK{%@W$?zB95<8v2>x5#!P7C(>-m|ti1TU_4hm3Psm~gPt3o<{Mi|d0S^OL%`9tRocyfEQku9pz z+5UpvGP|CVg%XL)BAZ|)1~yWvWD7h2cQCobl8s#~@68<7CB^@YL!)aE*>D%A-wdfu!TeQULrS~pf zi0Qqsqs8*ilTKv*x~t`(u6`qCpIaDFH?eN=pxZ)PSB{H?NDp-*bLI4?8A60w`tonKO%mAO?9-1UAcL?MHG9XyP)4;@d2o3>*KAG?+MJU0u z@bAIcu9%2B$YLEp7gdQ1$6AC-vJb3-4KgOCu3Dtu^2DqV z?h0yq$RO0NmhtcjQK=LVFx$_w$5dM`&3`M%aKVnyr=*ZJDj^(VZX-eFc9bX+f;*nN3~gX*VK#2qB=ps^u>dSgx~&t@b%Gf>1dD$&vV z7X@*USOr7jgU~nPz#E%2ra=$2nz)0U!-s8`2mMAyzR;*q!02^;@84TEdfR^Q^c$}> zX?OX`)rT?bd=lXmUyOU`osk~zbf3DuValHcdxj)LKwRLi z!o;`&cQM{f6C5nn$aPs}o?r)0;ozoNN#YKI^}Ix)dT2#s!ng2dy}*bo7dK^o{bnBd z5me+y5M6t?gXBj*+(F_FmP@nINXNlbENUt1>+Qt`_tN~DYJ;Z<<7>N>BkZiUli`6j z_4IYb29KJsidj-8(7U?U^feM{US0Hz*x*TccnTeld`)mrZ+Hngd!Qz7o)IF%-~L{q{K)8M6|NNrn?A4ke#LDSXuG#H;MBD5K^~fK+{q{31n)q@GYcs zNln|(Yd{MmE)~bkB0mD-d@72WIzr~9OXNoo6k7%1?kxj4uM9f&Yp0G!RxQqdd^-BZ z(QbW*SQnPk-xf`M^Yi0j#%OvGtd`>M(IJhegh@#3H&j33GRlX>LdI>_%|)1&QS66v_qZK%XUM4k z$MSew>>BYIy#-{P*vIWWZxy!(Lq=IKF2_Uu7k&iA^a9eaZ6(eB+VKkM1)dYVz)<22 z5_gcegTx&q?jUgoi91N#LE;V)cTlBO5qGfE=e<_6&M~V%)*CFZ|HcH`#j@9?#BVLRs9w z2~{#M{7)aW1a~khuh7Wmn(t?1bLm#tZ|Ju7n`W!?_kVV6@z80zAL&l<&_M(*zkX*y zP|R;GeebS4w>CeyUHS-{Kd-dBd;Gq9YxA_$o0~|c?cILy_hVZgzP$0%4Zhho+vlX% zG)W0;G3JXU&cPAm+R8i@O8k8eL`E)e)X{lPVp5=q(Buy4l%{!CmacxV^>6RLB-1>t zTh)F0Ouy>`8y~oN;-j&jv|F7$D}K?%j1C*~0)DwMyy1A;YYFWyjoXv7-|FL(Y)h}0 z$cU7Fhg-}I+?=TWdGnFUY|qi|_h&rJcHXk>(Z!>WPP!FrIAUXSAUtG>Y*=%p)1sU< zKWaShN6+aW>o##xlfuJ)J6>uX^yZooeMTM>*>242b!*s|_Q5+_cwIh!e#*xD?FH7c z#2qB=U<4~;3AE`1y!D^W1W-6j_K!r#dzD#LO;v`U5nL&Fl;Yzs<@Q?EGY^aG} zBeCV^e{hq@0B!^SbBS>%qW`H`7I}Qy;ygYvM7BmT7$(NiT-r#&DPr$9!Ep$#uImN_oX)rJgjHkxy1L3sLQ-u-(k~z z;tr;3I54d>5@B;dgUUBKX6&qW2<&MC(osHU1LIi2Ie?>g4S-ThK-bEDpRqCd44C)c z0`)2iUol1I%{5ik*2b!ubIc<$?A@OaO=~dwj{Ce*`gK2aX;qRjiuXK!-VDTWu4xHJS~~E` z)cOY&&?nUEunZp>bi{*P;q`nP&cP2TU8#66nt9Z-tOa*^~qVSoxgZod-I5SjO&n%$+ zTQoD87H_l)DAvKSyB%cSzCmIpMlKZ%#3TX!IAMtOwej;l*sRad%`@Da#8^8Iz*MpQLFo_lJIOKT=SC# zm_R2ej)`$D2LBrCQd zSu!h2#mfSK=$=X)u+Ei9W zcfFI?;9VNmQf=o@N*~v-rm1%LSc|N`26{WOp+OCrM!>`(?x265e?Y8>IK%^Oh&$L( zXci$Oi@1Xtg*+Z_V<&xGv7vEI-p0lBu8w+lv7u8Ox(d@Jui3D;2&U^^vu+; zO08U_)MD==o+Q?1SNC0H8YaQd|EK*3%-liSvsr#8C$v@y{RoIVXneaxf5`%50kQyD zpz*TEx%1Y*XoBa-zV-MuY=(sN?09m88ecTaf+$F z=@X|FaSpn%U>)bFa6XKmio);tLgv9rxK7+b@*{w1fV{3q_{1uK)g{5SjMXX_GHyd% z2+WFc%UCse{ub_2LFTK~Kv?E^U87-{=XLdlWh{$hUYwuhd0hdfbv(WgWIPT(#mWmN zK<-s6?su*Bfdb9^tWUSbj zaXTNpo?pfr1#b$>Sn)Aq8N5j*$IT=^f^-c8e=eb^Dh^!b+X%4ObI%>zyyX6lsLtE& zkzU|A(F?>7caXS)#2qB=AaMtYJ4oC?;ggq%hhK?C;lq28UO*r{5@@pO)a1N0=><6D z6X^xY3tC)CS4nXSi|Mc^PGKoj<$ql-VCD|ydViDk+Lv$C73u|uJBV+5D3Jxo0%QTQ zKvh_P^a8{kG*9f}oE7N>NG|}@fb;^Il5q)3t`m2VxP#Ts9gL}6+`+PReZ(Dv_7k7u z|7D!QV%)*cCw)Ck?z(yp9*?+#V1#N(|HK`9dw<*559hRR`ofLRdkrY~NVGi;g9Dyczs)E>gm}Umk*o0etiGSe}8KD@Sy6>f-hFQJMOE+KlN-I z-RqqVD;KYr9MgqRQ_PK=-brb6*54tTR#pJkHi1biXdO&uDTmdO&4D4

D@(Zr0$tvV4Mi%EQ zzAD56*#pi7xHnvhBDSK1r%|eiXQm&MX981A4l{zOvNwI=cVKC&Rt0CB#TzGl+5dX- zrn)6rWY`<|L6#oc&?`;*eYZ___U7mMWF1p&xitT+Aj1V)zq4wk=bDwvnL{^4hQRiB z_Fem0cz<%Y8Y(Fvq0pVNG0i0d60!uDNn#ZY1wMp~3QA0jcz{%{G!mAW1|^F7n+}BF zrAW9nO+Nz2wWCJ)zE#CVTrpDxiBg~T0f2b~JOQ^CYIYFI57 z%|J*7B<>(KB`qNncM$HPN^hKqJNV~Y&11)edM`U4Gh=xD*3R`##&vGmx|zS#7V9ZD z&fa>-(s#1I)l}`(?RDZM?ITW2IBochxPv^Okhp`;Fa(XV=1D6HCZ{)$A3;Ta1jB0&caZ!Dh&w1JKLYY2AU^`~BdA2le419K zBJLmnvd5`PsY}aeWD$3exP$)09V|waBko|CjRq6YF#?i&Ixhe+!Zr zHLKCdSuL=_br9J~8^$I|(-k_Nx>YfLiwlh=P#%RVGgPT;2%98V8W(#i6O0R{XleXB z7&bKIM?iiAA`SRhX#pXb4ofm_SqpzPBDqK^Qi$SUPdx0$Eg9TjT-L#Va*!cTWPV@pJh&xE!LDCD5UV!uhq!%E)0C5LZiYN`s zhAR>3@9h59U79b0d1;7HN7l1h~7Us7SxLK|Ym;+0N^+fp8 zh|)v>#kQ(pn+j&aG%#P5CNi$6p|pmZBg471k~3^+P*Mpwi7Qoduhul%M)PmRdl3ge zn33ZG%m^+%7mmy@Ru?chIPyfL#{D9_0H+}!y+Eo?r7p=8{HJx|4w7D=n%k?mnImc! zcd#s7Un$)aCtNbMF;ApldPbpCN!=4Nh!FpR;3A|Y;WBnFVg_=T1JUWJ<~Go z>kJvYA1sf@<>RfkfMq`3Dj%N}yRKl!*nQ!0JY@V19)CDwJPwa2!!Xl<( z+(G%BomQ!L-&@Lcixv|^bvoN$uv=!=Q?gJZu~}pj%*4P(N|kJZf8q|_|LQ&KxIlaF zm6j9#_vk=-+bjF0+7NfJz&bW7V(t2H;too6EYJ!i3}U6`K-0nB^^Cos{o_i=pu`a< z!Q-hUmPVkOgu&M1G3>z>2NTm!z&r_6DV3~D7Z<9K%TgIvA60w`tonKO%wUze9-1Vr z5nKm@X9fn$cp8`(55XazL6!-RBC+RKckq?7aJtxLVq)9`UqRA}@h6K4KZMrJjAe1f zx6H&HWIW)y1-)SG1vo1bcaXS)IJCf9 z(X8?JeUxV^I-AKY^OhUWhPZ>Au;1S-<;OOcxP#X}`{2_l^ZVNEzx{5Xg6mi<`MY5M zcTL^zn(8BQ2MenRib~u;;tpc(!8j@8S{=_5?5|4F;^@;F8>ut^6+2-WNQ|>gl@_n! z%Mo`FY*IV9gJ;L?T)1PW|6%eYsK}3CWbNS&k{qTONRq6AnT9G($2mg)cmAHe%9VG5xakmO#n<^=%yQ$i?%+#I^D>+WG z(OZhk8a-Ca*${WIwDS#4^8mx7XQqx-YUL0~2?uV(9jpc1!AbjVe|Yyp8wZ?RAnqW( z)1pKcAPbNM$O2Vq0q`RjSqr&?N&NyQ{@z%Ua#A zTQP8zzg%!dIDYDL=0||;31+@P`;`Tj?>6YSl=K46iC!R(_2Z=jK+(F_F zR*Ukdd`1@O1xPPIdI8c4m`H;af%Zr*Kzac#25v=_gqL-Kw{4BYDKx(qcxSV>y7jt_ z5ApRAuNNThAig=FL>3?mkOjyBRbc_r3jh(2xP!*|E`*)jzE zkO>nGR(e6^u`0Me7&1R$gX^_={*Ckkq!%E)0I*c~NQ9&psMYON+|1b8#T_h5*GJqz z==QMdLvk2)h1hNWTkhb^ude^P_3JmKcsx7e4uTb`BmEP1&~Ayfulw34UrWVjjt5gW zAIc7LZ^W~nZ~*lBodrQLzq$0iyY}4L{N#4&BW(V>((>-{`|_>L(^_wCBAK>#`^Ddn zZF%_e#!ol+X5Va|lVZ~(C9uVqFP1n5M~rJL^H?bH_c;(5xx7(F=Q)Ws#(vUnb@r_IMHe$VY|IPz<;L)a<87}c zw7)cNPtty?k5jTOy<#FGQu-ZkF*k5?qW0&_M<%m9N4wvj@i5zY%eF@sk3Kr-R)WeQmD?y$|4IBYP3wASmZ)Tx`Wr%j#`%Tw;}b zcK*k87Tvq+eC_J`6JAd5Fslwau9r^izC6M~_0y^Jt_8QWchehtJh-9jJnQZ0b?U4d zq$^D8(C@3wB@u}^7^DCazQ15>%}78I)5zEo#SMzrvZzD!FCtw_tb(ERdH5!;8fNS@ ztWl;qK&k{>7t^f#ZxeTLirR?7>>ceI`lW%mgHV|uF*adrqBLEh<6y&Su;ptAq`|*O zi_9hNps6vK0;cKL=ET+P+cU#9k9w59a>v-$&g^}??U1Y9qQL9F4NTc^;@GMmHtgzn z%m0<$s}I#H*m0x(qZP>wf*V8`qBi^easBbolfNiE``P$+I&|*hPd|P`+(EAX5qA){ z+-BC|15d%wQApM}Qj9F}BOpHl z@*^NW0`em;V})ajn9vm|=F9iZBJN-<;12$=ujLQ++MH~hp5pxo92zrfd(QwzZwO;IOyoc*FHRVw0k!E+ohre_)j5{i?kvg z{MCUEKpF`4SWyzJDY={hy4Y0MA_H}-0!pApmW6MzqD1(?-1+4Qu^B>aRa;u(SayRYnqHUD5I9JaSWPHQRHtiL_+AolU%0U0 zf_S;%oXjN;=Fze+nC3YBH@#d`<-A~2C0=O7&1q?bjK|?!RrqrRxmU5c z-?`HKLDqWJ^!R^_ds77$)S^RK*OS^S;-pqGCzbpN{%hR9m>s&a^WN?gN_v6kL@zL! zxP!zUB<>(_2Z=jK+(F_F5_eGeTA^A3t_d_*b;=Q{Rm*t@7T4PFvd$Xk z4lda06?@_B5I3P-fVhM3QkW81fGj{3APZE91xPPIdI9sqE^!A*FJNkANH3s?HR2^a zc{oMMb$^*wtx=@_W`Xnq8PJMqIPH~=({U+5zoj*Eet6Ld)-7Ddj89xB7O8LgL}3XE zLmCU#Q6$pLv<@HK_k7`#7oy;C{!^UPxS6AC7k98MU0*5P6DM3UX_B5uzx0ekDPB{A zRR-I!=PXX)JUH!n(K|o5FwE!nWZm3(fvs0{uY>Oeh&zZ^v^vs1aR>9l!oA`>{$7xC zHgDwC;Wlk2?z^#yxP!zUB<>)1`bMxaR!-bO;tmpbaA2Dt%Q4+%yylFZ191nT>%Lsy zVbgu$4uY!R47SPvEj>SE1*)N?53*9NL%>*VKv|E^I+z&863zkK_Xoh9CGZ%&1K~F zYcWoD&x~!g{Ka%TFX9dsImG~_Qm$32v@FB;#H&;au0ePiZpbr``J0(>Ee2&)tCWCy z;ad-URW&V>1;_$q0kS}4S^)eA;%Xsx(Du-%R}$Yi-7jq9q3=Fu zH!Nv%55LF6@gj~_C3C!b=MT2l%W{KC!|I4TNZdj4BLMFJEg&S3+$!Uik6XX_+86D2v92rk~f#*aoFow8;#2qBP0O!~&!jfEh4~Q%G?NDNZ5FJIP~1L)<~)4s!8bNiR@DkHCks zagcHT9uux(#`*qg(hH2KUEIO4bbZ7fG*ydu_{269r6I#1qx1u-3MRg-?F-AiWQ5xR z%t81x`w@g@&ii?}b=R(3HM5u?s?*v2g55H^o|1(UiOnLLU?v7OQmSMN{1bOj7qei; zu0L+|+~Ik*uiK!gXjT4&)5IMl?jUgopP4(D11+POmg7bQDsVOfy%`oSBG^G ziQs=OF%D{}M#~OXX>^flmQ7$JK3bgy2vCUZVLYI7W+1XPueW9#&7}uRHF8~+#Dy>R zDiq_}W~Q#W7`{C(D)bfnJT}Of1cf4XDs@qzS6&bla!peu$P=?d(seqOQrkl&W3^hw z!zTowLJ$Gn&$Hx$@w7p6*ycrnIh+O5Uhuh0R^h)hviQGqViS?b*?4Lq#=Z}m8Kp9o zC^|DMPoq2$OX&_*gjk*rRK*gp}|$SC%Y4sCAPBp0;Em61OoJY3wL&hF>f|aVe~b_* zaNisg*hFc%LKm*o>ZD2;!Xg>(@(=CLf0?+0DH-cqubQ^2$pih~{HP&EAGq5RchHh? zD_M6qCO_7e!;txhL*fqVaKL3IvySr=jA@)@DtK25Bf&T|j`m>8WiGc}Sk5J$8*Fi< zLRCuT|1a*~wFTe0Bu%tFLw*Dm`4LEK4|kCK2+AVIz{8rNS|p{QS#;Msi4ESRiNe4%4fJ+mLxUPLjUcvmeZ9Td;9i60B8;!?R?}CA2inxr*AW{$ zYQidI#o2bP>1!m6we6y3#0JNjFpWuCX(CfO>qTONRq6AnS~^ymR;JP@h1@~5OS1_hdKsEET|e>b+yR4z@0;;QC*ltBIv8YB@nNiR88ePx za2v$~e+rHlaR-$ds#Mk#EsfVtK`kOb0+##;$d3SSgk;G4je!qm^v(}14D-1?`SFAE7B6Ib-y^-ibD|fB zC+;9|2Z=jK+(F_F5_gcegViDuF66ryY(GnT}b*S(l=FdT-CUl@wJOPSeCAjxPzvy?qBK!ig5?s5?>9PGSMy{yG}cc z@nvxb$HOj4m1+SYcX0a9yh0_dF<`-TX)t&sM_W z&+B&<1jYR3()aG#b8GXH+og}N`SVK4yT|X#w>D2}y}5~G+TQIKe?PY6;maF8-Qb&j zvwcpAO_P+s7Gu6x;v5_?uC2^tp~Ths($jW?v^ISEWxHFC zj&Hb{J9qPJ?sZce5?qkJmgJ+Hl4B&V4OCW*wZ}ZROpq zg`;jK?;d%@cW91faL0FZ>vtRW$HPfYFIm1c=!M1lz3E&1dlZhb{BrPB&q9~X{3(G; zer@?{-hn1E*JXWsHk$T8($)W)liJfwwudzGJQKJf>3_!*Z%;p6xPMBg)w^>GGAF2y z?3kUS-}ZUhq7OHIVfm}&(1{0D&N?4|qW$ase}8ne@5`dFg|lyV-En63*dzNUfAQVd z8v8`wsD+=|=qz0u9P5AR{MN(k7F}L+apaAGgL;q3_no=;@}O0#{_H*fhlwXfztCNF zyZxe-O}u^oNFLP6b6%%;y4PmOveQy`{`p7u-wqx=6tJUC-EZ&B5)z4WTr5O-Fj)`C z2w`KaIlhaCaV)`okywp^-R;1#IOc^95hKQ;jGdO%$fXJd*&%DFd|r$azQm7z8R8Ct zlWznoW91oaLLaFzLBVR6=02+U6rjHNc^Zw6(>HvXo*ArC*F%$}HG=j)^t=cj9-fRl znEh1TLC+`S4!-^*+`%88ggZF2Qn-V?pO!oLMiT*}r)=(EV^87^2IL&`hzxu8=R?yP z%)aA3@05Ps4_#W7#4BQZfLSDLTX0>NlJa&Ny9a%Qv3r=`<7kN8_?T^@>K!NUAaMsx zb%k-%u*M+U#2v)GcK>%x-S3)4HZ5VDxPx9|k-oLD38}QkX=;z$i^kSz4r4sD<$GJC zz72eLCOMoNGc91Nc+2rj1wVL2;j?X*2mMAyzR;*q!02^;@84TEdfR^Q^c$}>X?OX` z)rT?bd=lXmUyOU`osk~zbf3DuValHcdxjew`nNQo3i@*~iq zImnNoOm7ntRhGd=3AgHYHsM`>$d4d3)_-8ns9xoaAOGuq1ZM8w&bAZNI(p@W;Dj-8 z2l0&wC9(infGj{3s7ecfAAzhEatG^w?f2f8);-j|A1>QD&T;GafB*fz5v0o{U2Y}o za>Gxalz@40g?n?vusj|Y zB~bW`-U2f3URRae!8tCC?=PWAl5Wf zzz4vgIE5XBW)aS9QJg}GQ&<65j<|#Tq$9;C%qZ4~5O=VcIfQL0aR<#kFzE$~)e`Qe zDYH!#PZI01Ye-P>U)Kwm{RsX_eRn7bQ}#CB=nbSb>Sc^59hQ2A#9RdXIb zl3u_#5nQV|sc|zCY8Q8~EL~rzc8qI?Ol`~)wMWm`@IB{xfnwajm8Vzv{Z`j82;U13 zcd$x^z-1h?V(wt}uZQo>j$8cois`?{PQB~DcI4~lkMigi;tmpbkWG^6ScIl94WVw$ z0jZH0OlU7?|F{w|C~*Wz;LsZrFb*w!I0zq7OCQ4?9sSXRWi;tOV;DfsWWgUgU3xP#4~j61mKNw|YQKM8kmWTkKi`#&vr zaPdEL2faLt>YIK{o(W7fIm`&A%HH&e-+`sAS{0mi7H^#JW&i8Ro9dPX@?md;$~)cbdD=ZA9w3z~jkgKYpae+N-*h0XzmY{hB8tpAYN7*HHrk80 zgE>G<%QHb2>%Ns3?-A|OCEB8J_oX)rJcv686%iA02MexaGlGI#;tq;LUt1NmXpy-l zvNdrBr$F`S*XG34?AtTLHjjFgzjDXe*Us#Hz3q^z-lD+kzYR>;aN^jiA2#gjc+3Bl z-m4GQE7)nS(R-g?Q>ce20LRPEL6b>b!MBTh{?ZTM{esTpU63VSOH zP>WkM!<*Qs8Lxn19SlXJ9r8h)6T>l)B)>U znPfJP$jj)v0QCtIx+j?R0wWLXI+vKahx`cGiu?%J+QS_rKLX+o5_hmXZVdSml;dDQ zegx&hp!&;|V-Xuhegx!4Kz;=5I2AxNZi3vG2qFMpxmwodKuylW^r^% z@*^;|w8dSL_+c7OW~gmniOhnR9s;gx)yc`=_vS)2a|dsTKG}Q!_!qfCKLUrwj2g;N zA`6fO$O2>mvOuL<0Q?BpTF4!Ym@;6+r(5E$UUk~vF?ZTY%cgs51`>CWxP!zUBtHVK z4FtKDNCKHx=;ccD2U+V?)8k8z;nl1{7%ig;978NRl;syf?G?3GmE2yDAHjc(JLr|* z^XVT^L!`tVd`|QNiNqZw?jUgo%b6Az%w-XGPzc!LUqlmkuo(SFIJZT50n!VQUV!uh zu><5!7Xy}?`h=-qic?5&3d;|HN8G_;Jum46YNKAj>_>1b+jqsq&5IeKUVylRl|DU2 z2P6xS1;_$q0h0wtFF@Qu;trOcWG3$5=Hq)cH7(=RFdwfA z_o*QB@x2DZG9TY-G%WM+y?Vnkmc=nIvchogAD076>li=G2QnUq*9GuQG13c&nT|%k=QJ<31(toBc)2VKoNIvdQ6^)2{XT=k;`@Y&RxTCyITvoUf#cP;pRQzW3H*C zJasSv&f~k;Yj?Hn`mNW%o9@X>caMTKBdl~@<6pZswyyYue!Ef zaP8#0SCw+D=8E*ADR0#6vT}*HF1KBm>HZc+hOJGE>TrQ^lH1JjumA=MUVabgdv}Di zHhlYKyIYTrZ@8K}ck`lgY}dGy0AAK6ppd{a`08p zLYK_^DS=CVZTV~7fhIE7Wqo@#n)X1_)&HB5+S5(8hcxm$6SyJif5#MWPd{C_e@ds- zyK@UNC#a9?n4P2F_IcW(4>uBbkhp`aJcCX6cih2s&|SGZ-y9MES+DKjsh0=J5_gcegAg);gAJ!a-5~DZ$nDo+obH|(+iLlX z>2_YGK`wCzp>8lXCQ3iU_{6JJ3gl2*zYIOm8OZp?3=`v8Y;dGjDOsh?1g*A4?Cu^Q zTbf5wP6~)V!sGw?tCF;4x?LI!7@W8x!ZC}({|16Q%Ute#;lRSB7$h)K&9VvRf`w2J zhGtx1T#FSX?x1K_Q-O8r$&y)FDqfa8Y-Ug8Q*Z}keqR{TAUF0b`4Lp)N03x|xP#3&d}?zD zeX}I`I%0!I4F#hgR&tzVqqh{7HF_Mt>ZA$qW**~%n&QoP_L3`Dt*1|Bm%vuB5o{u> zVU^Er7UB*D#6}W#uzY6|ewbF{+`+96Gm<}3**?YzV=>?c?Yr2f5qHq^Hi|yT0%QTQ z09l~YEdYK5NwttW==%1-k!d^oy8Ul&i}tT*td9?w^oxHs{Ntsf1o%$@Dp;)uwXix+ z!=`~?j|H_X>S~4BTA3&vO6uUR2KFaFPK56pw zLILDUka^)Zu6KvbPi5g?r59vg8=rJVnBhY|`&2vz^wxS?epe`luU3u2_>=sS%54+7N`mfkY0fF0_F*k|J?i==>?P-s#MnG z-}jr0Pf7l2uR6{on8K|87C6agAgR!KpL6$g9bO3!A6yvbb9-`g$*(7dM;)1o$0P1wRg8ekI%Y-O!HYXWdN}M3dTB>T zhk5NMrganvzfu*fl6`XYzZ=CRD|Le({>XroZVQ+-WJL#bfz0$PbciVJlZ+@;%)-lzV zOY`3fGF-6rJF8ZDu35R9IdoHG2yB06-?gucv-@DUgvxJ7C>&*MOj17);~2@}s5_2V z=}N4Ep}>cbdDSQ*9w3z~jf5qpL5br2rURiyFtX@JM3H$%jsHx2YvVtYxP!?D=M0_K zV%ezOC)X#r#Qgc*7frW*7k%W^gEm>dhNuMZm>$g>L-S@S<9`rtdlZ`yxUa(m?Pvc@M zj#^f4lj9Pr+_UpPuCwUgUFU08*PrlmdWTtc&~d$VV)x|{4yvC{rFSj3rM;Wp*yF(s zUFTVEPp?yF)gWDAT8DmLZ7zALIi@Ox3QWe9*O+QWOrtVtjhfZyz+Kx|-_FA~Q6`Fs zvDdK1@YtYE1-cf~to&~icW{c@SP^!;n#Ej)}N~I+QDzNrvM*1!J1xXv9dJN^LH;U0BW~ zK3%6%DdW^Ymkw1am8^_|ep;ikA3#Af8qrNq5Z;8!N|X(1DiXQDcQ7%Ig0hjUvIw>~ z2}<$rPZ<{-o5?{Q@e{^h!h)5=9sFhdq;^+dJog3p5me+yAg?{#LGmLgiyQ;QQiUQE z;hU_cO6f5`j&avJi4ESRSvu8r4yAZH4fQr+gL4g@5wE0dptlnn8r0;8Xr$xd8Py*y zQ+>U?*x+7+=Q5Dh`S;Q3)Oa#(HGPH1kAS#?yhz@EU>V>e;trN!lz(JoIXKQ0SzC-1 z>t&YJ$O^DNs%OLo$I@+EwE)z@irUyZHNp>VM0Fw;tqm^D3Jxo0%QTQK$Tbk{0QW=kUO|!@~|J*PuRI9 z?#KDRwf}X({DT(q9O4f0@i%#h64nS@#*8BvR9XWDN%<8M_?3m z{dc&7={EPgzsVPiNiXo6=mk=UJ4oC?;tmpbkhp`D6g-OJ6jGeRif3`kXJnCHpiH3} z=>=4ZC=JVoD-%`S;;Pmfq!%bJXmKfBCB-RZ)e2Qs8mrWyzQtPaCN{LGZhgx?YOutU z#QN+SIxDb>RZU8dYFaN~jz_Sv%S$(Yh#3UCvZDLf13yhQ8W{!u2iO}Q@qNJvISC+1Ru=Q{6za-NdE%q7 zpR`+@Ju80E#f%Oc^8$XkF}&e;+iMB!FOA!iwBPFElx$0{n8=8feurDk4cwfl{dx0| z$!yQj?)PUr%y!+}k9rWg!5q(A;6xnXf>~(9{nD)UtTXDd~W51YMyeE-XTe`@&fpz6+oFIK!e?yJQ=^=up6>zxfN7q6Hc*6)PO z;b>3qX)S(gG%vN8>z$UrPu)6oi^GkVzWr@qi|)a)MN6z+dhgPOnBEIJS}gxO=|twQ zyILOV>NjHcxrGsR6YC}qx-EoG<+xag^l&A5Kt>1~W6iZeWl_z>!0vWnSJailhlmkl zQN|8N34lKv4A2rpO}UjsO>xIVgozTqWah;XcMx1TBUsrpa0lOeV(#E;PsJT<|76_3 zcbJ2?Dlxr6KenLF6llemKc#2qB=pc!t7v`XR*p3<-Tp-Zchj8W_q zF^hy9EUpWaAutcdNQf^b?jXLX!I42HtD+}IAjuhD-5?{4NrSy#!(N`G8kuVvVOBa6 zb!v~?i^l6RhcOObE#KQB^=;t0Gs)rHm}vo9#aoVND)_-`CY+aTmk0euM!wLfQNZYR ze(&E~IC|TD@AMn5HfeYH%GHN4>wFSLqQNolp?5}lywiQ^`i3cg7VH_CI5=zh-Gr@@ zL*1IawfN(VySBdXrKM!7Z@p^Tt|kxkd-J1)9DU$!n>hFLCBz*pPSqvupqVjUoF_=! zLAV#aM521|VM<8PHR}b=TOGT6ruVEE@*}9ok07=7a0khcfVhKl@*^NW0`emuKLXEQ zas{jP^vUcJ*eW)HeHMcdcaXS)MS|>#*jU6Jj4cD9M%=+zlR})hgXJP_p^`B8DB)H^ zGWjs_BdFM8?7!|uVCD|)2~SNvlOF+8 z36$TUBnYcVFl6k0a5)|_Rvqk`hC{|`#Y>L)D%BUZAs(0C4vWR~0*l)WZ1UpB`C z@SNxc6vQ1Q?jY#}NH0KofoGW>FJo@YrJUez)fRsgC)!}b!dWj88?35(Mv3$Sq!%E) zfI`k{W6dPL*e5gy#VPbhbZHrFD#a-*W){*5n9Wf>%ayo;3I#^_sfjp+W&4q&A(x7#KB50$oymnt`COHPln)nt)74Doj=%GFUt)g?x0$wW%L!MZzb_E^sa^!L*D7f?&wF4d=m{nwC+z!OxrFJ{4q~AH(tkA){;qtHWr>I3b4H zdqc*uxE+5Rs}U{-nAY+5K9I|rX9GM;v3a&!Y5pKD8?Q1|HShB{@3;`#deXngLo5HN2-`RC<$~Mn%dF#avPVI zW_5mT*Sgs=NA=^;EyNup?jV~a)v+942=PO6V5q|nkQsXcca8hN_fGR;G&!Rmf$jjH{0-J_T0&JbPw9jGG>s zBrSIc4|uJ~h(#hi4NQ!O;1B?Ul?jg`vBzJym7*p z{jVo)s#}sphP{y=Wa*&|z0$PbciVJlZ+@;%)-lzVOY`3fGF-6rJF8ZDu35R9IdoHG z2yB06-?gucW6PjoL&d}d6;8z10QfBlG$v({L4%>dhmi460TUx0AeAePw+YjrBoNee zAgsTUML!~n%sXo2Z&fBtdA1J3VpG{kOv%(hYWjt^`TWI3kWjMtpefcS`n zH}kFqZgc!hBw2)_?%N+eZ2$bj?YbXv@)|XG^|%JbEd?b6NzdeMGX9*w$@p`lFU0)h zFgT{$Uo#6&HyhSC-|5lIL-^hZpP*(USezg^B?sS{DgTx&?<`Eh8 z?$3v&HJE+JeI9WKb#j%GkMR&9)v}CZf)N)OuGH$JN*VU*jCUDLjtN@n&wm+vT;dKA zcaX7@snXOcC5+0nSa-_jS09ENV;&~jm?-@W;}fq^DR3}n{WA1sXCRxqLDyn~Bjb;l z29MU*{p|s=rFkUfq>yWMFnr=hkNs6ics3Gitfpx&U~qy@OiXe3-#}>^H;(1Xy)PVC zxWGS)8;)_u1H~SjU_M|W6ojD}ml)S#1qVwta$T0WWCu^d85aL(;tqoSyvpYep4s01 z?sfY=7Lp%9MScWnwTC-MegwoFB<^65z_SDbN+TTyyk?YR*Vo&N4eq7o*wrQrAIR$b z`{;CPJQ+8=O__ndtLZGqLHZ-n@O2P-XF2=`l)Vqrfooe!QP$-TgNq8*lnWn@WBJ zHRnfQ<_>0Byx0GO$DKOh3=Qu`K-@v@T@?Kx3y=lK0%Uj^hiT z|JnA6{0N?;;VapWp{Rndf~bDPWvp&vA!8N9ZVmO{xQta6V+P_f=FX7$>W0VV{h?aG zGT$v`!ZMb{?l2fKR$E+-hm7CB;}3_7$Kj+Ml{|$zb^a2#8kR7Me zR2&hI6WNrh!6Lmt&FKZq+`-#7;;rwV-ZVg{7a;B+yiB1)79b0d1;_$bVgb?%kY2z% zA%YVrq!&n3b&HFvGT#FZ7SXw^`DM}zK=s4%FL4J+-^5Mml3u_#$&3@qcwN04-{D8)YT1K(S6&(V>4Y0U@}wu!oqRWY?XI?6zx5h;(>5d7B=lW$J;Mu>*^1}2XyWFRoAu)uAQ9ss#31iT#<&C;sRxa_@<+kfG z-QVKKu(gR%9WF3Va+^6G7A9VlJE&Zm=3QC3`oY$}z5kL-^R#YN_w6(Nt`BT{;O2>s z#(vUnb@r_IMHe$VY|IPz<;L)a<87}cw7)cNPtty?k5jTOy<#FGQu-ZkF*k5?qW0&_ zM<%m9N4wvj@i5zY%eF@sk3Kr-R2Aj}F zs!UL@8m76KifB~S;!9|rO<0OB9`12T!AB4vP?X@L7>-#2w7>5{vRo(8aoMCB}P1`*ex6DBOMN%>obW zS$8h+eIx27FV}b2bl=zZdeHj|C8k~tJr0!fG%mK{sAcswIWDovJv;y7I*abzb-s3W z{RuCpcbHWN9oI`Ic3&Rhp!(@lde?$m+PmqEJs#Z9b)NP1^g4A`4bl~+b?Eoi=90k1 z9243Sg`JEoFRs^$m`3HJ<0MwWQ2IQ46Xl(l7<-LLpAWKpgoiaN|J(lNA3>y7J5ZP6 zI~7cfBYzZ=s^3iC%7Ie+kkyQxwGM&rw1E>hpM@iTXp?gQ_x%A-Y6)mn`R_9}!lUEf zTksHtVpdF%d2_1>zG(=|gCk=_n4&h~FndS4hJI}JJp?5}lywiQ^`i3cg7VH_CI5=zh-Gr@@ zL*1IawfN(VySBdXrKM!7Z%y1m;tmpbP=&Z|b8Ek=sbJJvPnOKeQV|CU1GSz?9g9O& zbJ2>pgRRB--y=RB*NOZHD)J*x)gJC3`4JFzkhp_IidW(enq4X?68{o+uv~Fxf4Ooj zV#A0#NZdgHWOEAG60Hh?<;v8&5_hmnoNCpQ_DuXk;tu);`Uk`kcd)#{z_60zBpbb@ zxUA7*wNzK)4tC_~D^D*iw@oEKf|~OqFzW?8RX2BrTV)V;5MJw2A`6fO$O2@6s<#06 z5vXb*ckt>XpBYMu7rm+|EOs z_+4n=T7VvQP+tmlBuBkAVCL$d3SS zgi`Gl`4RlrxPyb-R_)!^WKuTi1)dYVfSS02#2qB=pm~zK#LQk%3@wUND11FndVw-$ zf`yDM(hHDYfb;@SKnyEtV<}FdIpi9}DU3DE1Pj|#ic?5&3ZJ%3CA~n+=>^Q(!4+O> znp^Mb=7jG{`8b8d9Ry=gA`6fO$O2@6DzO0R1&BMy%}b&GHO{dUcaXS)Pz@eKiZoRT zlv*U1ma&=yL&j|=(Eu-6ZW*N;e7r8)r-F>romhS#WIn#vXjn$62W}_50L4|MIE8Q{ zpit(C^i6Ry)wPQ|SeCAjxPx#x@j3oq#wjeu9lSZ^_(G4pCl=uGh&u>IsFqYQcW`o@ zyh|-NTx=dSKQFs!pHE)>;ODKR}kDPkYCd=0lmEaxIqgmtc`zX(pM|#7K zcyi0UCJpv}4SVrL38u*0 zLgQVeZ*7D&<{a~g414$IL(`tMahlpA_oDI8a~R{HE#KQB^=;t0Gs)rHm}vo9#aoVN zD)_-GzQ7^w;QmuH&I}c{dKU1u%Ay%}rML^qSaT$OK#7(BkQ$k#?Vu#S?!rXeLE;Wp z33o8$(ab}hb8de@egqZy5sa-p+(GgqD2p5eka&e66yck!CN4B679x%$fz-Sb<9nM# zav&FU*E@*~-WH{OL#yi?O7V0W>TSxzKUJGmxL~wkng)71v7tc?nkKAB<4S%6F66ryY(GnT}bT}wO5tgUXdTce~ml%c;m=l7JQl3iu3{%G{H9hPL=vKIbofR-o~DMWFwCm#0WmJIGMF6-bwxkxEWf<2@csC2!6 zrgm`$%hL4`cM#f7e2%XS?%?u%hq}nuMi0Q_5qA)bP%Wuq?%@96CzftFKYn!c1+%Y> z`egBY=Pvb+;L$C_9VG4`aR;A)J9y}cxr3XZiaQwjWZc28o`gH-CPWIB#~qw5EcCCr zgX5o;JNWfKa|Z`|5_d45($HQ>dAm)}um~gBYkrTTA$H?qwvDQHd|;a(%Q4+%yylGE z1CEA~N40B#+Z;dOT49&&40+%F@L~JsA8yzEh?Cc-!K=qL5Hhb|f3c)z@-`WN&fsMH zxzQJ5esUNb)9tUBg{PYhYn<=&=;a}NZ-iv{S(DBMsF!^l^M&+{-KJk{1)DqFPChti z=)4xoM(rlDBj|-rnXia{ILyr@Lpywp#vT zx}BG)X_^8zT)#Fau4dn!8Mb-Uqx_XS#=drD@9S-cT=fDhs9wR28~q=xNNy0^Aj%N6+3%0*kB6T8Md{hk#=p~{a~FU5@tZ&2Y92c#)O*?a zm>I+Cw|1^~GOlye*3JB_wpdTOarV|rmcEnyt)^T8i42f|Rl#OJSMgQnYP>T1rVqA1=rVfpWpHWr99sF2Y_p{yCZdi~XK}CK9 z+S{&d5n|GFQ6nLBtSOlQNcUgRwF zBOvae@$C)$B@2)R$O2@6%C`Xc5ol{6cW~~Po~P^vba|5rw{)1?GN;Sv9UhyB<3+mM zO4jA}&L3>8m*oakqXQ`?{^(p5r>>O#wn*F%$?9}CJ#7kt$KwWxJIIB8$5t^{nm@=| zubLiT`cjq%PgL0+!NeUTKLV(L7LX;7(Ied!GK8n(;!}bkNre7c3}kG-?I5GP3o~xV z@8C9m8I@)j*Ag=x2Q!wz2gAv6Gs%wtJ^L$e`1tvJuo&kLKc2QNhn$aqO{JBIzlvba4MGG0zx{+E6Pcvv%Ez^;42 zyq0IIcadJ;InfK~h&xE!LDCD5Uf}6og!4d~dgV;uRDDQG^HjcnXk@ZfqefDftKMF0 za4$WbRP|?L;`|8bwkS>^aR-Sz$o^w&PeCl$I^_h&t(Hh<6sM5l6jlh4BfS9W1xPRO zWYJCFl|V7>VBC*CJP7>jO)GrQz{e>h?jXK7p+puS3y=lK0##uF(hC49iv>!MwBKBD7IA%+f+c*(g1fWO=MhCLun1i+CoNGNm5rDlvF}a;!2g=t2I%( zh~s6f+Bo>Zj1w-^GXF+;0cD0Nm8Cd^&p;3-y#Tau`b_9||su6A(;%hL6g(minj zQ%!Bm6U9w^MxoSmt{0dGy`R~SAnJoYGWRv7f9ASHi}9j5o$W8!Ewk$>Stya%EV2n^ zVqhbsO1417+`)0@jsztP2@7PW?tHsT!_#MzgU&4GNl&Od`EK^wU2VI5>oxGEdot7A zqhQSlE1lQ)*Y1riY}$8@w_nQE)gOcp=-TzGu5A}wJ2~%FrCh7IBK>H}8+E&^T;i?E zZP#VGzr~SZYZIe7Twt8!Hgh~IOi&hgXk?n^U0J&N!PdXM|B_7ev~E@R?KAzZ4{Utk z=82ESe$sAr_N@3t7c)9+%nSJC#_)#YZLcM?zcg-7(tfLtQ?f0+Vj?3_`W{wnrC_K04`Eu;GY}&4KWcDY9YBl}?Lt+We^TydOQMf2`ZY zNlgk5|Lu6GbEjt)sIUEFs zk@#qJ8j#qw;!4APGxEu}gGZl)JJ?u=6fBQB_?ocLzvd1ao|ZfKtq>1n--pcv?hR)N zi3@Lv7*C^q4lSo2lXua`v6~!bPiI2kt{Cgpxc=jCg=lu4F8I;My_`N)$&193BWO zNF@B8=|@D7c}Gojz}CipCUFOeI~d1@pcjw>i91;0UN_&g#cyElRy6-H1m?l*mE$EA z5qFTdgTx&~Sve-g4rqF6m6BEJw1CHB+)8#=+_N!a{SHIM_nw#-8`IM=!}!FjR0qq?{CTEr-HGo?w4f5}u8bCzu8U1}E-k z;fISu{fIjVcB!4*!ND^FX1Cuw+?V_aD)J*puRYvB@*^PbAaMuFC4_0D<6zu`8uj`$ ztx*^1T;0kM+*X@lp8N>Xj0)-U8Cm2&2xHX>RaP3jMZgh~wcbr^Xj4carB<#|YVowhlf?S$8agZZc`Ln@*kD^jzt0cTa56(}Tbfy-Ldrp0 zXi#ir(@Nm?(2u~Z7g#VS-2K7B#`}eS1jHQ#3sE8qkOjyBWPvKN0QeE4*Fx^#`uG(u zMX&1pL902~p1l2+6S2e{B<>(_2c_u>9dQRmAonsO+pu9I?jWf7$?r)ddI>56 z4}?RYTzAO))H^<0^@7aL*W>zN$h`0z*W(}~XbuyuCqowQ9|!Bm0mOv+F;|=46ZsJs z1Ihk(xP!4WSHB*=MR|~3;5pF?WDs|dxP!zUB<>(_2PsaWXD_*e)q475b_r}18^Jz{ zK`2fk#VIUOVfhcNz#2uGEaDF8*ffe$SiS~}^a7+8cv=k>=>-&un$ioH;}Nu-@_s+} zSFSnY>nGw4f)OZ@1;_$q0kS}qT7dKdKm;W2pb;s7!JIlm=DlWdzU}|@{2Orxi94u> z4P&*$9n^?q&<+wOwU+AzGHMrhuq<64aR;H>#OL^b8K})x;ET&zH12yr$mpCSToOd2xrUl`h|_ zX_v*y-&&1WF!Y;ulv%1Civbmut z0xBhn!|;I7VP>2e6mp$Wa6w#4Q#AL?Tr+dYEX%DjMaz9j&E@yYrEpLEmH%_zyf-}7 z(AfHSZe8Xr_br}x-+lMJ`#qntu!nS3hJ|-ldxgu=u>Ogv0Lg{d-@cXOHE>4M@g4E% z_jWB9{&aiGJN^28dSv#J?7_i5e-U|OxZBB@HZzr3hdp!e_8!+{-R1E2q)Bz6*F@g* zd2Q?x>+5IE4C&DEy5Ge+9W4j?T$(c|r!Zg2KTCuKSi>m|f zb~K*5)$IJYjb$6ouYKp&yvva*FI;{8N%tSp4raCa@9Op|_pBfDi<{eY*Sn4vAOG-$ zSKH_%m#+MFrD+@gz@IIm4_+D?xS^hp!`P`CU2lgh_U(AEVc?K!eQ$nnc)|jwht0%T zq)Dz85(CtTV8||z8EcO3QXJK6IIM0B#>GA_`~cMqi!$~)Rtvy81lg6&i&4Qwg8q!L zPe~7BmBbzV8{EPBW#$f^c_Hp#Iw(v9y*1-xrW8iVvMTYI<`;_6t2OzBHj4|rGLL*|I%Uo`kx2T+Je5zirR&mD- zRjX929DDL6*5VT=Xhv4o5)}mAqr6br>d76P`Q6yIc{lY54s!qiN5R2nuxm7hAGA2do-&dg;g}fu; z4ib0pMK!OEPIDyI7NevFxn(6nqO6Y@7^%^z=v`bXTscu2OMV38N1z=UTkshyZd1vR zfcywvv`r;Hf~xZ)FmnfwPwxC%^w>*N#eM|D9mEeul*j^P0kQyDpdu^)egtExA$M>c zd)N)LeJp4_9ITe>jOVpB) zutY9Ng_3&stA)7)$ceDMX;}id2Mv_e!E*8=AU^^a?NUZ-S+=(-QQb8zs=|QNoF1{m zs!qpmwr`iadP&yvGXrlQ>l!}5IqM6a~NNT7uZN z1b!No1VNB-8M>J?)1osh)6-Aon8fph_aNb%|hb;tu}5_j`()Nqz(v3E0jbfYigXL&IIs>? z8C;Cw6t)vf(?~B+Vn|$2rBR&1be&mbgLe+aDa6NbAz5dYa|g$Mm@%j0n-+D&dI3h` z*Z@9@QX&hG1;_$q0kS~3TY&Teq!%y`>=Jj7^a6YfLwW&iY!rtc^6noG45HC&k$Hc) zPNP+i00D?a+v4;eq;C>9KZ584>lRL9#y2h$i`3?SQCNb)5PajoNSdAhBA{#ej2N+J3o!(J3tny8}3)oJvD)8LH8u+r10H62(qAiiic_32KWCa&@%Tz7q5G-G(z)r?bP}%+Kse- z)M@25ugRn1J0GlTHR01o&4=VT1MmkgzbDk$&qA87{NX_ByU$K+ypb_y>$x#(m$(th z$>ExnJ}bJ~Gr&#_mcMxx$ZY;l6_FS zkEYh{I{3lU2@S7UzSh6~lIi$H7#pO;L@8-Z)Se$C3jmG-o4(m zC$?Suzdt2EG?B*TucQx<=+dB5dN z%R%G6U6p+y{$!hXReyeZEWEQMY{9HwyX-!@cU11d$@_l{*E%HnMKAc$MsMlnalG$= z3)_#bTYPo#(RA3x}J=kU=Z0lRC|{NYiy7>h*Q!DfJ1VLag>%Sa`XI{eMScovITi3?RK zB3fbIwJ& zdE9}%x01KiEX*Rq<_MppvLhRNr09O?y5;`9tPJ1usp{^YuMP%$xKN070BM}87$Oo}6e20?*OAmig06C-^?rcg1KzHn_B z4^t<-06`9Sg!MNHE<1k^NmS-B(?8SD-1N^R?jUgo3)C77%c0|Jt%9KHT!4Cm-8D>% zgO)Xg$9_Ym0(2GAxR^S}Z|xt+7u8{#+ZuX{*zv@~I0<_RO;zeswX7L}H3>=yT~^pT zSFdfYLtvYh(8DyJg_E#rI15=4tL3(T14=E08$;Nhu@Ubb*WQK3T)1=KP2uTz9wQK?jUgo)!1z|xAuD)ih8Z?@?=&%GDMvg*NaW-uF}H? zM^%!!sKm$gEy7flxX%sdIEBAwG#d2vS3@JnkD!!KvrKyR;SQ1?0dWV3J4oC?1^E$> zAAxrdg_6~I`=)gaY!(~I{vCsm9|8Fhd(!2S zF4rUs!LfN1aiTp?fQdp)%(z?-WeU?cqKD(?m~l)VGnT=Q*5sa95!MEIMyE=QVZf#-=hp__TJoyp)B_~xa zPfcM}dR=T!g}zs6JntVt?JZy}&<2 zFYq>T2Z=jK+(F_Fl3w8N4386cuu%9Y(hHO_LSEw77I6owZd{tUgBmzCA=rfD2O{~ChT#rPT~$0it#CglSb!9ja?;vHiqNP5q}q`dp$7Y z?a&7c#CieZ4x)u9kp;*CWC5~3MOc9J0>mBs_m01jUO+WkJ(8t3g(LN9&0h_a7G6)> zLA4~Fi}R|5c!?~;NyM11dMYz}{_Ojf;L*16{USsD`1NTSVK`=t4q>{OVZ>0|Y ze$JE8HM1`@481aY^7dA(KFuTUAaMt|YRTBAq=&IeHc6)Euu=@dr)Gn)4V93X7zYt| z75O5J9G2!n32go`nZZ*GwjR%6jTvE6M+6NFRjX92TyKH^GH$-=_z^JM!n^xu5QYV7 zlXU-B?x2NpS-FEZUWhw5wQStM|CNP1*jtPgEQvd~M_lNoxr3Wtlsow6Uvmeic<0wQ z;tqPux?gwRX~Vip9h()#E21V9v!oz15trnrq|CiM%q`MHG@KuNEX4lpm>omiPxNcq z$#Qtt8FO85&!}$4n|aSWwPv^AS+XuLfACQ6!H4D_ZQU)`*=K0PnlT=w9nHXGZZRFs z=xjRNu!}L*93x`7{xLJ}Oyj`~vYelF9w0oCu;-CI;e3E*+0K~#vW0v3qc(%Z?e7tH zkhp`#J)^=t`p=PRfA=OJ?jUgoi92XwmbQl0)!b>b2kxFmtwOmz_U&pFMwW6+e(WY5 zg>3F7+VEfG7{)hVtyW@Z&bl)^iOxb6KBO>i1)$8Pg9y}8#O{7&CF)5zD-}9D0`4?w zt-h~13D3sX8V{TT9R}!gafxv$fC=menl*E|P2!G)Yk_hc>e*Z{4+@%j&~60^M#!`Z zeY&}1TW`@B7Cba670l(vKskI;Vk&fsQSjl;TyB@QsGMuj?y|Yy6DVjtMKM_$>@GKT zt<7aSH57rhokTk=fD@bmrOfbGS3R4?85@72D}+1vH0xB?Gj-mICO?AG{0PQYAMPOe z5frBcgO)?73`O`RtBnio6wBks%aO~)3y(nwR@dMxH8v`W7pk;#D58(6QvznF($0tz zg@I{24E9o^M-`eTET4&0+u$HI)~&*G5$jxQRrM7T1ZwVv8d9TYRam7sy{lVQUn4Oi z%hkY0jZRfz8j({2+(a%0iPUIS^gJq7?Mi+GaCxCni|`CBK-Shz~f4gC?yI|sp{dc9+ayoAlPF;x2lk+xI9{-mW+fYa!D$b)Wcsb z%n`@SG)_evFX9fWdUNgr-Bk&u3GyQ#KLRbZm)MrdpcR!tYl?mW*ly~eHN_Sb*XrOu zT&{o;N=Y27AwL36;0;eE7~$vq0Psx`xri``&kgxw09_P`mJ279{0K~eWOapNx$;3< z`B6w=JRhtsc@7Ch6?h3yfzg7W#>+K|UxsSCNPZf{e(wAfQ6Pesya{By zq`03n$XFJa2SFD2si*{g2|t1Y+`*H^9gUBC?v+J)fq#fzU>tD=i91N#LE;V)caXS) z<*0`uy#VP2NH3sNu)0{YvMIKV20<((jK5NxLLJH{NH0Kf3Mo!u{7W?@Rjn5=$0PX0 zdxVqby9+jAy#R3s;e!h$vH)3tEI<~h5DSoA07k%A7$Zw4pfyN@u`n%+o&^+Gs)1!{ z7)#T_cv*_XG^gQ4&``U8<7tIQ*l7GM4yr8hK{aRh_ zyBE2~qQz)QjSdbM?U&hiw_RXsYqQuUh>3xPl*-uxC36RjbBqi2-XG>R?e#_nvc6X= zmT$VL5hzd5a26}P$2XhyO51hIvi1hbcE4lQdqCil558{`@lowd^$p*wxvI80KK5+q z`ult3?nwV`{9Emt-klvEwqwg!-}5#Hyk4!{Nc%^fR&Mi}JUYJf!MauxK7G`DNRBg4 zi}Hjn(PtseSN?FI_1$MDHr~jXv-R8yhg|m?G|4`w-A7YvcOCrT>4b(?EMMzif64THsoVX7 z^M+dt*A+Esu0mUpt-JCFid0 zVQK@j<#-za7?_jO@x@6>4&~=#_oN?K->)DLyl3Q-rCiC;~`#j0<{P1Z3 z+oju1q$!0P6ot=r9iQ|W5>>xmy?|lsT5Ni>VAzgBjZ$xq^=f_f`i-YC>wFX8@fTA! z^!^afwcVz!uQTFt&R2sHBhr^YOd#$caR;&96L+u@xP$Zlm+`}sS0kQ~A3N2fUwYl~4*gWR$bgH2l> zD*=&NDL6L~+m*P3#2qB=VA;G)@KFOT27|8>wTd=om2>MBXjh3lSfuX41+p?&NsV?@ zbb*9!nk9Vy(AZVtBL$8(2eMf&knA`7t@~rz-^LH?Qbr?j=xCQh+(9q~C9(infGj{3 zs1OT)AHn!)$Q@kUq`}nP-7jtG6ZPOw?7N*~x<4ACBkmwVkuV7mC1D!pu?K_Wk`xcz zY|WX(hHPQ7ny3L7nnfYLE;V)caXS)#2qB=AaMsPB{(fg1xPPYj3$fp z0;CrxGUh7QU{RdH0O7VFy+8q_Ude5$bb{0{v5Lm)|LuAKGk0)w>h!3=J*Iph)(a4K z5I(+8A`6fO$O2@63b6p`1&BLn4#b3G?Cl_9FeXe03V01N`t75CHqLj0Eci=fXQc;Z z93a7j^AV5*Z)=>d*5hxa7a+X==>VHwKWgyk?eo@ftWI>iCX$_0C-jhCkij@=m|L z+m6g$l07*1=Px2}40k&@(`IH$)?v@wQN71CS$8@7y~as(qSr*;^m%RU66@<{&J5|$ z>AK&=J86~!eJ)M$ihAqSsbSr$pUnLvwAQBwj)iG{JH32e!xmRZ-R)>RcdOa?-i>7& z&aZvv*DaSLSDv`~{F9V>X$P~~{C9Qxm3!8Y`Nhp`y6au$$m1Wr@M;^on^g`Uhg=OOo)+h^i@J%sNuq5u_5pkiH<_>=GqTE48@4w^@ zz5_+@RSy$!2Z=j)YJHMx%;OFF8*cw`VD9NBEz|vs(Fu)Wf*UvZsh8@kxLq~Xnf$+# zn`SOIVaMQje=oWgwcJ*Jy#j5nW()*D|VJmY#(k4uy)2M-a$@s>r)k=gXTX%+Jz;NO!9C#yznB`d{58tgL zKZ4Ty2qsn^?jZRQ5O!G zC2L-ZJ18}}RFUSlAFKE8rPpha=H^h9urRKAz)9E}Y^6rG%C^QE3f`m4KQt;?rsd3G zXRxWd>mqJb$&Y~i2wt>JB|ieC5~)2^${m#GTJ9eIKmAqI3lMh@zg1Bp3y=lK0%U=T zv;g=KOst07!T0xH8gX&Jj!rg*cPBf&o9S`yz%%7Uu!BsJ0RJf^3W-jlhrfF80!Wd> z!`~#BQ*n7T=we6061gN5O6uXS7UmKlC&KooWeMCKG*D6p%UReGm#8Gdwu!Jt3wsVI zuv7!f)Nmv%>?cKHn$y76TJBs}*cTp22W9Y>a+oHL7x@wJ{4RgFPNP+iF!8!pbvk~t zeY@P%OR}Dy8F>3x*YE+>c}4WM`9T$h@o_!~o)F1UCrt1m4f)uGuw4>xUpT2-a6&>~ z7(wg}{`b8(pMelINue@Lc2^~sCiqcHLEDP$&2vam@QZpQ9Jx&3r*YUl2r@21eQZO1 z8sz~3e+$>CA){^<%lCtf1FP7#9R^u&OX&&ISQeM#v2hp`rvvzT+`lj6;`Fzm3M-($ z&5&jFw>GG!#}{RXYgnb2elZXfg1XpnR;FqhCQ~U`@*|-33MvyOtbEnFy&^vXQy|&W z8@|Hr7>X){cB}#Ixzg3rR2V577%uBJLn@2Z=jK+(F_F5_gcegW@+Y{=Kvu zjUq%pCGKFLzj@%3;uOXf5~*SJAiaPXu-8|i8ighyy@2_)A?{!SrC!MzEYb^9onFBF zUEsCg=b68_OxuLt90a`paR>3s2_>=sS%54+7N`gdkX`^r^+_*a8rUW7Aj|evC91o| zMX6J@ayBlsQ)~qcFIxt5AiV%IW~3J=phhBn6AsKafQ$pRIG~FIujucO^O&%G@`Ef4 z;Qs5{tGH}Q_2Lc|r|T=Cd*Xykye6qk`lY`ql=_G31qyHnUwL@*MC1Ps`whPf5O)xa zP${Vd?%>Y5d!7Y0&U1S2s^9A~&a2xe)IAzN+(F_Fnt3tyDd}OXl1-B7IbI8cT$kDK zBr_Lq5Vd~@YGLG1A{R>FqYM);4o&D72GvH-VT~DKQzt%EuUD%$a!jaNrDEm!xKO1+ zK9X_sRmYEj*%sd2M+4y|Sev9n`V8Y~38E&cM1rS*iSZQe0vcqwcrUgN!Y1e7bWvl4 ziK!*pB#Kofm=2j=_$jENYMIJP7`MOA9dsx&cQEgTxPx=c#vNqJ!X1nfBLz$14jvL0 zdTH+9&KKnl+IoxdKn}gwv}kpdUaL?g*}8Jq7i!cjC?eE1;tmpbkhp{X`8`fss~~92 zE0_TgEKChz@W1k)2=NyY?JwU_soAjv|!kdLyb~zkM(MO_4z5ekhi6AMTmDL_y$_F|oC2rNr{&2T6YtFo z+dA}F)~em3=APa6ZmR(|8c70g-RU=C~FQr zddlr{cVDygo9u5jRd-`ojdE;Rv4nQ!(= z;0`vHYdoJ_slT552ukxKFjODzAo&pxcaXS)#2pl9Q?dD`@bA|>I)AR9)|I$}#o`#n zj4a{~5_j-L8Ck>~tUA6OaR>d;yMwrcB|Y;77w#w77%Zj5^&a0~^|AzT20@)pg*hXU z9|8Fha6ss?bkktKt!lZ0(|-OSbGK#WaPm_Y0TJz!DY4iU(kziBjKdW zHQ5DigUSHR7`F~PT9bQbMOYi;8J&v4>d23PxPvCSh-!jRbSoTOL~ES zh+e=*+(F_F5_gcegTx&q?qE40_ENy>hx7uZ7cd1mEp1GTxP!zUEUkWsHCV(QB<|pg zwyDG&tOne{OZIEx=I)Dk7wZLxI|$#+D3Jxo0%QTQK!sR<^a8{k zq!&;_gjkI8s)hKj_!p=3T+CJ#M03?~kGx302PXvW|bYJ=?Z`uzg4B^pj^h4=Vo(_U%2ZdumeK-unhta=X! zT=K#9Z6ZFZeW||Tn>AO}R>#Ml?OcCzGn56ujz`+=5{ecx`|^8Tm=^*{Ig&j@9R z=B73#Z&#LW>!TmIzZv(<@2f8FcC*rF`84b}Q+21=Tkj0|eyu899X#gGyFQm37WR}7~Fk-g>z9}BU6J7&jF_Y?hEcCsAab;ev5 zd`h5N6yL1(yi;p-3%*%*f%$`ndJjG{|7h!Oxz0XABi4-ZfOYsbj=4xOFqvCShch~x z4ma##%r(b|n67`!%sbO~aDy!8XPpNK4<+n*WKTFBpjoyvX1{FVUjC@fU~&6<$%khT zn%896(7nVRB<|p>`*r7?HmtkUu^HAKbM+HfMe%gudT9*IgjzYtM=BxiAaMtYJ1BfX zW$fkZ6pdO1G-w?nOKTNImU2vfq*NY-Z0<+e@L%K@#y4KARw6{&x-;CAXCVt8QW&@1 zDurGlQ!3tOLxqFz&}wVMMt=olOE>;TWt^1?ojydJ#!;*Ls*~_+OkBMbFNp;DTwG#Y z;B@2FLb-k*B`}xU1m*B?g^6)3P%cWtvI*vbc~B6#W?W+23KWcxX%+f(bIG>eqBAUb zXzV1K%Z-6@=0g`O7X=^g%;k28%ejgWfjp+$T<{4LG@qiFtep?zHkYlQ+`&4X2Cva> z-bCC%wlqJ2jOxQ3oJ@WM;MG)od(P6PwUBnj}J65@XAIK8SK zl&dKq*keJrs*tEC9yoCap_bry913Mnpot@TIP`{@xPv3v5H?An>dmA3zBXF74-|znLJxxu11fwN2IyhXkUuNIkc7d&} z&0?D%CdOhk9F9`CT0rn4nEqBKA6a&ON0Vyv`m}4mf0#IH!Nd3358vUwtxvP>R=g^x zHY?bbz!RF7&qA87{NX_ByU$K+ypb_y>$x#(m$(th$>ExnJ}bJ~Gr&#_mcMxx$ZY;l6_FSkEYh{I{3lU2@S7UzSh6~lIi$H7#pO;L@8-Z)Se$C3jmG-o4(mC$?Suzdt2EG?B*TucQx<=+dB5dN%R%G6U6p+y{$!hXReyeZEWEQM zY{9HwyX-!@cU11d$@_l{*E%HnMKAc$MsMlnalG$=3)_#bTYPo#(RA3x}J=kU=Z0lRC| z{NYiySmrp%)k0!`8W9W`1xSoFr`M7&PK9(_wpPPob!)IJ_7mYp!bq_wW3OYipwWs@ zYxSTgDnB$UmM;4Bmq ze7u-^7XkcmZtKb&8LoE|v5P={A=I?x7g{VX^wNF=Sug5Ga9%9;bLhpUMXRIqTF|!U zpP9^?{0IWdEkro^5ukiLlXs%1xhOH-bD(d>ffjjtue_JzX`Oxlitv0yuZF9&9k=}M zXLqa9Cg{LeSYWpXNd&5ST30)1^fLD?PD`ya&duLhWAUShF2CGZf6~W!EwlQt(|XzX zZp$Mb)z?m^cFDP`dzjk5^T};}hwK&8Yt&fXU!RxKw$C?P#g72ksbms%z9At8fw7BZ zd1$qSsaNPRKz;<|N1#;5WqQz=faXMxt^gr29m_Z+u!*u%rM|aHr4up3J1c+V5bkAPJAk;Cq>d=B8d|xiwBxgEKCh{5xhdrd?ZZ z*e3Jy@cTT;@%-><0o$e9PNXS?8#E2h%dX>-K0~7F*Q*yWY+Z{@j}{Euai~%1?Xh01 zuU^0LG-jP|BA`NI>W1DQ;<>il)b({nJkI%QP+~;-@`nlAZI5(qylBbJ(GSUwfcyxc zDnTMY0zLGe13GHmReE^mP$ii?7$iOtiMz>;oGtOsz|TLv zIN0~zWWzkKz_-J4{U{zmX?_Hmv4tegr1*cN{!!(GLIqP7k0Vymi@9#yqo!2Dg{#Aod^ZO5jy!Ou^EUVza!Ho$KO zl*j^P0kQyDfGkkn79hOT+&nzhCPnGhUuKA4FQ|ecqn?XjERq@TpB(jM%>B zEk6&Hb)56Bu93bdq=jGM1IegrGmxA5*h8e-f8Ym;;s@|N)gHz)?!TS!5| z#CVEgy5@&!HSNXLLD=LR=-&|RhlvqKcSV3o;lfXWCRWQ-7AQ05${ESZS;c5Jp_fdR zpk%eotLC#a0h`2Sik|O=GIIy#z7Th?P1(4EYsD2j-rB(lXu87@g24Cb)5f zpL(gz7KZt8wVwYoxoPHd6V{BlgIc|U)%ly@hS=t|2K*StKfuH|X?gBpC~)jjwfTX; zCqXGekqlTnOJ6Qrc?fLN5~QQTw-d%m*!3GSl`M(Xa(JpYpwv>p*9qG*HsZbG+Pl!0 zoB4K`b4*vO-1&CKz)aXP0w||wOx>iO1KmOo7;k;~@fTC(hua^z_ffB$Ti8uPpBJRE z9s03h-G_Y7rYX$7-|za=+T#>`Uf+9YPUgZLudh8ZYKC2o{C4_|%scBtPcI6Niz5jZwrG~Cx1 z`a>2V3y=lK0%U=5wgC7M*jGdD;7;SapFN!3bT;$dS-*8Q>5|5i`@BxvLE;V)cMzlL z35o)pFu_X;cnv{>R-FXjYq^lU!3ha{6*_%y@W1cP`3!`xNeY!|vb!q5Gy#$_jatVF zVgzhroZW^m54nyN|%xcIefxzp;&MDT;@faKfS+Y8fU|DOmC&AU^`GYMCluHTNU1tzLcv#XYCVj{vF@wqx;-vAx1}>`lnU zwPWG19OXl{0OED-LzS%u0Ia#^rTGzLzdO9v_Mg9WIsJK~*TO&B z;iH-u?MZ$FyJt-@?D#rm@h6-2Ti&!BH2&LF*%#tZws}|e=cmWQJ4?bA%=)#hJ@LWiLC-5; zZ1{H;kXsju4sL4|1O+~UjIRoq80i}_h03J2W;_ZN$4K<}@`HXbQEmpWA?|G-z>=wKcUEnki9_l^# z(EOvVyX89j42@Vb#-pI6@SmKY3{2)0)8UNHro#=p7<0`rBBtvfGxN?g9^4?y`B~=y z!t)4w9@!Jl2WXbBqIW5)fV#15CEEDpCvKqej+g>2}I zgXe_pHuW5xFpp4mY?RX50^EEQ80H9G6Xg1gTm%Te<_4a5=$ZoIez53I%_t z7W_0`u2GQD%y=n~B#+a0iQM^VobLb`F9DXv{R;k2O<-E6W`h3|UZNn#c!_cPCH)9+ zx8^v7vLCvii|Np-F6jmSA$ozC#2qBP0O3i|dI3h`*Z|8=A`6fO$O2>mvOsxTfb;?q7XDKKSWN+c zM+1XvB+S$J8&)(NNcn#~{?;=q!rCCu=v0XvKhFER!>UflZ?# zI9*?nc8qI?c(!SoIH-TK;roZ{1qyHn4b%I+7WGG?_wl;`aR;$eQW>c=&0 zvuNShEzgX6cIe{$1y2sb6QB*J5JA<+kF!49)2hq4mHmFLo6LB5=6n!orT2Mz?xRt8 z4a284Z82i|nvZ*Dcj@v?msaoma%%oql|rYzCi`^C!kQgdEp4RFXx(wTzeVog<%!X4 zhceCzo7tWgJT1x-G%KHlG++6{f!24Qo!EFIW6sudW7sZnBa)NDH7k8qbhXQAG(C6C zfOdht&p&uJsLsj@9l|X=vv1Anw(8UEc|-3d?;Ud8Z_p(BpmrZkt=)C-gQpW3Ua@?w zfBhxX_oZ(456&BIc_8A3cb;on)|9}dH=Ewf{MJkEwlKVVy=hNuyZC>9N`A&`S4chY zvw<6v{&!rtV)~iOhgP>=vo|9rZJZ{TxPx%%8T*vWMo@+(8pX9EO&XUy?i6$~(Wl5qHpI z*8RHkP8-%;>evjMak%5mc*SC;VSRy=MBG6LTc6287i%s`jQ1Sq+i{>p-rg(k<#<|W z-@hU}9r1I*)!L3*e)qGx)oIfLTmHG$0~V|1X;fWyI+9%~wy`c1-QJ~saCkDR}J?dR_wFM2h0cxa<#7h-0-S-ZK5`>D7N4VyRix7ubs z<@UL|uUYy{_P3gcbr*KLX+oD#(w3{0Lr7pyqCrIXG2Y? zu|d%@sQ604UzRXdqg1D-uqr*OqMZzNrN;JEc{&hg+rQXY+m23iB-R$Aqz1WVC64`D zA1k4%R%{g!E{;|<2D+DcWolHVUcDcy_wS|GbHaJz4w4^%c4X}60szFph5Jc1B~Ys? z<+i~`4csyezDm?8+L%?!ITUPHtqrxL#+FreDkZk5(g{+-#40)~xIk7_i7>4;+`-Mi z{`hO=C$F+%KLW=FjE4V)KtE&wvH)3tEI<}0R||k2LCtE&9rT^LWMu2c&0AlLp4PeT zuLrs>-8g0y>2gVzTh6*%@*_}666|5f8}q!%E)fHF3W)s57v zHL+3P_A66*_m9`H#SKmsUhgm0X|(DQ#2u7qf!E5yUmg6_!+%I{O@cYnH7K%2xe%%nCNUM@4tlXCf1G0Lx)t|lZ!u0% zql3dm`(^gsZ2{Y3v)CqxiGhWb%Gm-1xr5WAGxJPPo%t;|Y;eG=K`q+NJQ~qVEVcAB?!vzjZ;_PN!s!MDGj{B+c3t=CMPJeu7ut(LbH8H1G7@2XFNna#&)w zIjzUt!Nc1Gecr_9>V*qaHfQb1v5rlT{BV8mGpiTHjr_%JeDCM89esYNG4;$Wt?MU^ z-#u}t^PgWBKRK+v|IYrEAC38D$+hmS2KHFHan+KQlf(L)lsg{l-e_8rYxU-hZ0vTw z>2FiFPu=Et`?VkL9Bk4pNWOTf)oUBBT#V_tpq<6?uai!u-Q3gkNS79G%{spzvSwn< z(5XqT77_zohhWGqkQr-^eG1$V(=$F!c*fgW4TsgO!LFz|gC7Ya#iEQo^Z}qV z5TVxUkp)<*(02aZ{tzpuf{g?*BXI}O$v2Xfvx?DdLNA#rLCI>FSAEs-BUrhow3J8|LW}7JcE!ZF_EstMa2XVDv!Of?C!aew4)85zf{UX@ zrq!`{xxmSqO<-(&b$W!jnDz_Tr~xQUh5iWRWG)>c(<=1owyxaaq1ZeqCTLQbn&x77 z&iREdLF8L(kTHo0ML{Przfe?tQ6aY!b%G)>J%l4i>VoAEYFEd2`i7|0N>-+7;azyb zblMQ>IP4XXIb1~4O7M3qmOJ>4m#NBg=jH%78{pn>mdHP|dfvnx448D>Gb-$({~Vb{ z+`&`plU!pSZ`j{(`;P;2Pd{my?q`fnXcQCNxWP}oRA=yO^z8mYaIe>T7t43JB^qa zCt=rb$W*c z2oQnSwLLW7`PYRH8G%=gNrAQB!CLcm$>95!<$hhJ24`G0UGmwC>5^M+*e3Jy@cTT; z@%-><0o$e9PNXS?u4@{cmtDsveTGEUuU9W%*t!;*9xWKQ<4~j2+he_2U%h_gY0Ns` zM2RFKrf%r{A)afyO$MFMpV@-S$Y=#*3Eh9Q}~EgMtSGV=q^yXw)j` z<>*T9M;-;+oBNSAyax}%_{KvQ0eb`1ouPMf7P3%j7`FnQBhx_`OJA-qbWkK;K@Bta zq@0xs9f!ixKo_a6ItkClmTP`epu^w{#W681aJuno1mR&h;tqmMswa2QaJ@A%wN0v) z{0NHsRLg&wWoB0&?jZRQ5O=UJPp3`^_@~O_gds!*G*})6d#TZ*C^M$=P9&d+RlBO0 zc4D1tt*X940?>xIg9Xr3#2pk^UF1hVegr+t-dUw-ZNu{0SmF+%UL8N`;?vLGU@JAc z6@B_uEK;sGo-6qgkRJg%MqPx&NPYz5M_?K}Gpm#MS6ui&F$eOzfuDbTaj@^b$v+N{ z-`!(&NK+i1k^*kfp`%?2aR6{c{lj`I}If}em)qLjqJns|N*`4M1y zi-K@$hp|0{L42+~#t^F5t|Dv`+iz@xQCN-{mkT0tVHy<(C>6kr`@xK5@WpU4`4O0; zSh_-*DqcLr2X7L@J76)6pNgUiG%uR81wW0KYZSi>FGVCjjhD!spT_bXAPbL2+%H}p zycA6!<0ZxYp!^2Q;_@KKc!_a39x`r&`+osH0^F^cFQAK`m^ppXy7i>c*3{4~&%Obr1ho$0!i;-R+rMLkU(hHDYz*H|v9U;d7VH_0(8F%+IJ*(0w z)G8eg5fgWixPzn@DEJH(x2d)zgvG5`2?hVmBkmw^2Nf@dXD03-uNQEbcZ{9Y=%-RjX8h@{0>qD&!*> zH#6Ci5=v2DW+4rU1t zK}F@A?8wF*DY~D!Zn?iNE5kSaxO&@_`HMOkFWR*@r%`!-uxdGT@zfC%@~h@mVy$ZWMDG4m=0%jHXUx*#h7c35iwo=n3;E`@!$qo&d)jz z5S~S-RLq`mK0vcj zOpJp-3`A8*GG^T3VbD&%30pqPNKmKCM{BZk2_de>Cb4z>| z9Qv_g-G_XAYzkI>zu)z#wZ|#?yuSC)oXmwgUSE4+)C{{E$LBKw{7$_U^kDOh4(Beq zuenpwy@B#<##X@oNtk*iUnA2MJ`C*+>aej%V?2U28hDb*^q?~l_7Nh}v5Zp!nf#refX*{1q|1x<;fcp@68O`I`moAs@XapZQRrDuK(*j*Bo)r*?qh3vz5snK_1b@=&dat+~A$ycVkzLc-uCS zr^lT!etGEhjI)Ep^~?e)iA7^LF-Z7sSqjBE2v)a-Z0@uXcTfy6G*Ocbr*KLX+o5_d44kX2B_NPYw*I9QM$0r?TgcoP&WnJ4Zb zaR>8T)ncm%FV7aWvBVuD?qCtP9r+QI_^1JyI)kqgwJIAXZ3IQBQSFZ@7(KiX?*S86UBZ6#2w^6_0SJlfGj{3APbbc z1;CHMr5bVvN9phG%H4C}yFcm$zS95L=DDAoKh}-7gTx&)sZUUJRW2N_o>>vr26;xO z*Q3%QY?m@x%d)*yQ_$-sbxi$NkaS`|Hyie+_?UV!uhq!&;sSY50+@?UHj z4T3|TaN|;(LLJH{#BC~Z2LodHv3kCBC%r&AS4+6yp2f0UNiU#O;#VGxT_t`thT~zJ zLUTNVLye{%8@=K`?~3&T#2rKnQ6dYF1;_$qfr_vI=>>>8Xb!}Lm%+UR2zJckB5v>lNXFrSb#hD`=f`ARLN6VPL^UZPEwm(kG4eL0>)0znCi+vr6ca(i`@jqz)YZF5_gcegG+Xf zerV^nA!Wqq_05Sp$PjmsxPyr6He(F;G!!8Z-Q~%wd}N3^Ev^@v)?KA%wF*^|xv0cP zB5}v(m?_~?%&ZsqqF?RzTQzq3iu?#l^COsFeYk_$Fi+gU z5@Aq%6{=Av113KLtx^$>mT)%Ilo}fp^<=0tkBYDYd@LtJU8%8sRh~|Xj4Vf|ITCA& zQBs54vJyp~*2hY4d8t@e69HbPm5qV!B~}#T4ia~exP$qfk?AS_tCcpzl|T(rHn@6(9tf1xPzt-DD;;sKo%eikOj)$0^mpB zRt>p>DY@I0vC4fn-~RHw2@^b?t=^Y$^Z;=Oi91N#L5!wnRzwIB5Li)`#A-ufU8JeO&(hlTD097OnG6q-1clRL37_A(qU(%1DfL@?^_{NFTr!tYG7x;(h z1>PaHP)?) zvk}3dNG}lR9}r92LE;XUZQxVX#*$uu^a7+8DBEpAdI8c4{3Qoj=%!VbUcl@}Fm_AZ zm1~>Je-Y~ih&u=$T_}+S$O2>mvOtAcfb;^y9VG5xCHfwi#@SYNI)1Z#yWG`FvYwwA zc>7q_@B!9&MR;8>?yq6BdO-6F?(AV~BIyOVb`bC|Tv#|9o5PeL%j$1!P*0C9dMP!m zQW=W=y{tAav{URr81~e~hO;tN%P^Tr!75|JSlvjyS`%AnVDzu%^9N2X+7@>`N#8{J zrgGLd#bvu!FYaJ*y1pXq7$;ofHA!XCFa6Diudwb3C{Yqb>f)Gw3w|1rLZkR)$is=` zr?JYSuRoT>+yOF%oy79EUu($tj@|?^4(#Lda@GqJ;12Hm$*pc=_V@>QOnVD$aoj;I ztfEw|7C`Rc^kJEKCX~+nAnu_ZaQ*rp-@I=8`3gI=Zq#QhM zB-&tgqYX0lzB)b9Y=#VNW@~B7c)|nA!kf5*#2vhse0cVtc}TF?{pZGyLxoPHd(=(E|gWQX{zo6jP)d4%ThTbA} zJTWm&T2`mVAltmE-;9@<1f_&7tF0wt>5Dh2bqH+J5_*`}`NYIH3A^T<1Gw$qfHg~@ zpDJw6*ogOzYwyAvGInG!MP<%0T`fa%Q;(+H;G4u9G+ln-Lk(X2_7JK*|GMy>Zt$ux zDX{iCSZls68GKK(+^@^j;Ec2<$9lECdi}=Jn03C15=lf%-O&3(JlA%cy1vec z$2ngON{mQf{xD&??UAmH7ZG=`HCPc}6EP8YP)^*zir^0V`7ByJ=;P=O0OQ7=H;LGBwI{UHmG1;_$qfeN$$_z~2qhTOr1kGk#taNmccwzu|8T)1|W zMTeBT_ehsZy4-Ts<@U^qur|muIu#Y4b0hv0Bs;eZ=un*QyomnxZ^~(jJE%%jca19? zyo3A*fG4PzD8PS8SA;B>B9Tc{kdt7ow#Zr?Y=O%aP(mq*lc)i$W?C|uTaMFuZr>`{ zgHA&2RXMj;tAhc6-s)6e~4aS z0dWV3J4oC?^B_6t1<&Dvr4;Xh&vct=%IsQ zEJ-gATMWyU^a255vp^+bP^Q+1=hzk zTr*_Er?z6f0C5NL%Lyg209k-6Ko+P73y@v_#<*A*BTIl$FqLF9jDd}W`9%2DN>U^N z1(s@HnHomIv@l+lA~DTrpfruYnW`psUJ$?|4A|g&9Av?F9_Oo7+%M7#aIp(1P9bpz zwGuhBWTY3U*6meXcJ1oL9V|}QS48(j+(C)tP5v<`a8iXwWH>Chg)A(G$pYNLXZooN zL*|EX!bc}@2f+xHl8WaJvLE(1_Q}PK>2~!p<6pm_9r^3VMd1Rng}8&n9VG7HOLGS& zL3`-N-`b38Z(we~#z8!V{*`1|U_-S48G;5wUu~(=u6& z|IR25CTRY5j`xyCTui4XVH|p~Y0+v^iQ>3}R^ItIG{f*r9!xbk%mk*IxaG4J-@f0h zSE>|<`rb<3QWIM_B)pF*@uM%TmoBIcU`K}7B6wZFCX{D*&%%qJPH;VUcaE zf}p@BkOfK?BYi`rP?`8_j7Op3{``(mBN&x`5J0XSt03fBQs!QM&ygkr&JR8oV*hr` zj-l=+`nBw2IlSwPxh`lxtcS>>+Vf7W*)4b@;x#*XsQ2JQ^N+Ufmh0>@G-AydkAjwh z5~5^aGPjrxXLL3lZrH_`YmN~yUH_Pwcc$^+23gL}Iu8(@N=Q`Bo^U=uvutO~e%Zpk z{85|1;`YQHRGKRp)*2r1Z>nz>pmGXdEf@!Z7zo5a;KnhHi>Y(`*2Ep0qA^v=o&()N z4;XKK`SBN1=7-xKy7y79oLl0%;Lwi^>ptY`V^gS)@Atbtwe~ngpV#*unv=P3$LniP zjGAGWm#O3|Uj9ZU@7iD3n7D%@Mz3$adfFbZCx(4l z(F2Y>scV-w=j)}(R?ouM88nX?-rM0iWY;e-&JWLyYPS59RC^!3DolaP(Wm9f8x!x% z4BI;NS=OrEqvoF7_in2JHyTL-Z{6uPV&lopj;TanIR(yYI7=$sR!- z(Z=YlEgsx@KIqhcRo;DU{M#QnfBD+a-#=dTYV7dPM$0b5%y_eQa~JnhaUB{qZ|rZi z&3el1b9Y~}^qcH&HC1mHL*h^rnxi{L9 zo>jyh6!p;Dh&!mR2<~8qPA=!_{j~B=@*^nCk6>Z-;SQ1?L2=}m!p?kr@7=qHLdoj9 zebYJyHj9m96Im?_4`1(KosLb3S3)4B7Zx6a60EMlS!!%lRDM-y=TL;FQ>O&XP^F!b zKwk1N*h`HbRcIQK#`m5*e#6$FyBXj>ddlG-b3ugaY}NPYwu0`}j-9lWob zQvdk0)Ip>dDCL>TR3p8>d&C_i?jUgo&9J>f2q>*=j6#)!6e!XQ_y_u%M=MA#P;3M` z@z@q|2T3pRqGMYWr?Bec;feKF6sIsz?;jeKEYosf8!1j93Kxp#Dk)AOt5K@cQ&^QA zdq~6`)Q%M7aulafG6z0+nz@62epcg$@t2Ni@q4MD7a;B+T8I)^fGj{3APZE41xPOd zv@PNel3pMsUagGQvTScta65`q`22YkG&m?B!7=>=ej3O5gCOHF9M5maPvg*V8b6Ke z)Q|-kNr%aUGzW1Y$+sSy274}Z1LA|*gh1iqaoKgM7k98YT_14=`8F*ceo^0q?c|$~i_<-Y!}8L&gSx4I=G3~j${E{8 zdkc-EMhAzB_RH+M+b*!RwOMQv#KgctO66<;+)~Mw>|B=`HPKy6MtbmOT_Pn9@lJ^d|?l)+XWl+11GHQ1n{NU+?hF2_K>tBC~VPEQY|KPmg zmIoqkc;~sMWlafOdb8=x%x}HqZp*^E*PHglwu}Gwr*vn$c7@dQJ{!0(>3_$SE2f{x zJ2a*Jn!Oo0Y2!4xyJt-@?D#rm@h6-2Ti&!BH2&LF*%#tZwt3h8&rgqqcb0@LnDuLy z-DmfX$~`!F|Bv5k9TNSb7kp`>w{-J3-uK9b?MK%wzPkAGklX$G_Z*t#H*?9={;O9% z?m7R`_>;rxcaz_1vv`$PBfkg9{hN8uYd=pvH(Ne2W#s3NA9TBO_~?;<-8E|d@F-i% zhneJRAu+&}2!@P6uTuCh!(nx6uq<{<;77tp5hcUegVYm%cL=gW!ceWkgdvVMgG?b6 zY$Qk+8T*vQJNR>1 zxPybrg*({uMY)3uUxYi@z}wWXhk7g_?x4r4`*r7?HmtkUu^Be!*cxC)ykfD_xK5mi zJ2=TlD#_%bi!~P|#(NI*?KsdPZ|{}&ay+fG?_Uv~lGqQrTHA5U?|yc-I&Fduj0s?g z9tWyD z3B4t(2*e!(J)DlXgTx)=S{{4g?rGF2Pypyk?@=Cw;^rQu4Ic@FVSM8OQjB(1~r zItv-Um|OFyIW`@XwZkzBU2Wwy3Jr!(Tn9ZBr!i@HYwOR9qenYTJ>pgmk$mTqN$G zY3L1y-_RbIQMid6t;s#JBCHMaj7~*ib>v4tegq~Jp|=o8HMY>azg(x$sz(4wlDLDT zp}o~|J`Q@WT~WRJLm!l-aT!;oHp^a4W7D0aYv^a7+8 z$k$60TSfRWHBl_s8YP5Zt(3t};tq0P**6rta*Vixg&=ao9W0F1BfS8S21{$(K+s`j zu#y_>sz}fwbki`(kH)SNKO4jG=0G<45nTRI@pR+jrFX@80pbp#g(#5)$O2>mvOq;x zfb;?|(nTDve<{a{^a85U>X9t(*y5RnZI|xRolvEsd@cXl?t%r?$SFe$L`O$ye|Bt;h0f?e}|M&vS zuz(7JM@lBBDGHK!=Yd=vpb~;8C5p>3!0KM?E(#s$u7U@lrlmhc(>ycJ(mc{i^Pn=X zGH*-sD6f8~shPj>|2(rZ%PiLqi_8D-dFy50nR$0+eCC~J-ktaReBM(gCT99Qfv7~> zLE;V)caT;7ZSLTr3UdcdPsAN;Q8Dh|{EBb~FIR*+7+)#e!H6g24lWQwfm{J%1GF0> zLTnfpUjtVdF%s!T3}Q^1dlPpsaMCfa*zga2J~WNEgD2Ledc;3ix6fzmcX3BfK5U=m zXC9i|GCrhLvmXX%P8Wyyi66j|+vF^@;O2-ss5dHELx2@-h)AyvApXU^KPJXa&sxN; zVHql8hQ0{sH3@17KfM8VYwycp#zNsc?cqlQ{+7VRxCy%+EZ4|WSv`lR8Vp+&gS1%q zKI0_bJ8r!VKR8f(j43u}w&iM>+JVa5KCtp`0^$x@E`Ka*G!l2v*>9bixPy4dF~l9z z!i+8g@Gx4gR~oadV7*S>A~K>a@(`II)_UWi9)iR%6+&qFCJ?019k~M~1|>iR#+m1Sdc(s}uGxvY8y@ z5kISjJNRXEzob*A_rFYW1m(pM*aR7Yy|stK!1%{As6k3iIufBgOpMg* zRuiV-1=>8Bs=i4gH9M3%kE#`CQyc-s5fpjV^0c-hA4_orMpjMSLEC4r*ryVAkhp_F z_?m4i;b5Kk2VQmc%`~zagHo$8;B#0yL28;<-H$)w4%%`DH#u*ewt7~NwWt@60&dW? zyR(|OgWSs-`a>2V3y=lK0##rEh$HZ-h1|hCy$Uakb=p03Z|aNBw;#3SNZ%_hRm2?> zX5z%LX<=*_%a~EWit8HjpQvlaF=*lrTI_~(?jRRF&{vZjL2(4CgmBg{7KS5> za|Qp~GI0kfj=&-q$JhY$w-iT!d|-+rfTn=I_yEWfG3qxSQ%q z&CN;<*;Jdl#g>odW@;iecd5zKp?M0s23Q9^NiR^0Z^sRN!foSXl1a^;CEID$3Vp0D zkGO-yt_yJoi90AjIV;#7fV-HugTsCAOrFyy-Mh}H&M%1d0>mA}Hz$9 zy1AZrS>n=HHcuvVTIdwa#KTHTm2831xPyaMtpCsYTt(ciV|)9~U97x5tohA-#2uVu z+V+Kd;pUCR9VG7HKj027fu9VX{7uYwL}-*oAU-Q!Wy5%Cjm(*Y=0S}qPsAO3zGB?L zg%#lr{#+66;D}1$4i0)!?%<*);SRR&F2bRiM(13xu*fEdTUcZhH+|y&)w^xm=AWrA z-T3zVZ2opG}z?=~8g#g8}(B#CJi#cRo$-@$Iny0sALmnwEWyELZp-_#J%j zgf5L~9HP^ylnS{KVyK0Egvt#pSPxkuNR1kO222l8^j|h3#K!F@B zq%s03y06B_A}ZQSN0hXXNE*N`$tRmQf-Unh#?IT$E}=Mr^5O^<*BHIl7U-5R_*0@`bqAa9y#U+zEJssAskwbkol2RpS;QSwscD% zSV;bg{8c6UD~cnqOaW^sHkT_uX)CWG6vR8&ae531HHG-dK-mteAF+(`p>)W&4%K!s zd>NZ8j@{#W%-tZPpbYEdeo-KTztLMm#zzpYNBIrb#r46EF$@mNNs#e7xc`?S<9>vY zJK-E7VI9hug!NFg(F@3%eX;LA>#+w(FYuJ;1>Pm@AaMtYJ4oC?;tmpb(7T^f#TvYQ zGrM1y#R3stpg&&9jtnxC>Q>pK zIF92K8sM)H{!;?GFcpY}q!*}ky})y|i#u4FuCIh2;~Y`A(xB5C*b4chf9Uvxv3Tq- zJ%xG!8}8udcbs>scRmcq_W~}&9juZ*aLJvP#vObvZ-~pJ{$G6&*8b|-bABIoJSgks zb^@>kVaxB_eY;2eEsw~1@1K1?H?2d)D^3rtw7GZucjeaS)$KlNE}OP@$Ay0%+ww=x zji0UeoA_(TNn@OvkLlWa^uERQgJZ_OpzxX}3-J9aHg;*#&h=-dq;}<*JYJwk*%{i- z{O$e@w;vr}e>HpdM+?WZy%WczrA6wNwRkVcIltxfBP)k=?&|w^)uUn0EIZdN(%viY z;LM=q_qG;}ypy(j#1+3`lk9^#e~{fMX!vh`Oz^pA|Kdx}E;8-S*cuR0INE-H^i}Ud zkIdXDT^C<(b3Ny)<_gaxk$sy^dnoH2@XZOsspdOEn|hz_x<2(k$5ii4KUG*TrOV3Q z+4-5T>yGT4N!&pR_c*)4-539r@8y_x_oDEWMBU`2M)fxR?&o}?#|8i=TL6~* zV6|qB-osfsbV-9vZi^kV&&=IkXW{*O^{-uB`+W=d)y#^6ZfoUl^jR8HPkZ@fM(_OF zhI<*!ydK^(cFTKjdYw8eUNRP{I}QBuBk?7}OEif`TcQG!akl-64tUKnz*l)Jc+cj5+!G zDf8zACuf`0BUFWRygxgDSyaR)hTNf=M!4r;4{JDC6Z?FoSe z&2Ca0L3wcmOKJ~ykm3l4J4oEYBE_pMM@}@iHgN~VFepKw*1%LpYW6D08mjuK=}Vhu z+tcJKH8-h=v*AHZVn&vSiIJM!YWQS;OjX|`k(wP!o=4SAgHCY-6h~0xRm&4Zi+pSy zlath3zq*?$fA2=I#()7vqYi0qt|kYm*|~;JgyINn6yjo^O58!>4$4a<{!$!4X&hvs zn^seC1Xk|gE&Fw+axQ0lAdVxb*NmaL2`%rV=r37-EI<|@3skZNAdaAUE#wYL-#+s2 ztb1lZw+7Gk@J&m5XX?FWr-|c5y4*_E*7(m1J!c@#&0GXazz zK=qWz5!i4CyJ#Ap+}!V&ne+lriC$nSaR-SzNZdi<4ib0ppJ4V#FHme4hx7uZ7a+X= zaR*sFt5LAtAqE4hPWoHsAiY4T1LVXVv{99iUZ6JW1+3gbpXa1`--L`AE7l7TcM#sS zQ6dYF1;_$qfhw^8=>=c}jD<0>WR8|K4#vR7!g30H>S6RO&}OX;)@flZO%LN`YKdh@ z$Bm#NYYWHLijT0-_*)`0TO2>bgq@o-$ijdQE{}(d<7}9488c4WR4wCgq!%E)0OByn!rtkiF^1)>sh2lv@uw;%S#SIhIxC4JxVoq)eSJ{s9m z5OnfN`){kTL0UU1znL--)k}$O>aR>3;aty2Z2i(C=D$E^R@ zuJQLSUTDkh1(b%VPs$zK_9WcF-rmF=B<|pyw1cyT&1t=4W!HauWtj#z6F)Dqr^z(dF07u|qkC~RpD(ox~v3F9X0dazt0Pi6Jo_Xk6* z#UM)-zRx&`_l{d{!($3{%a~$wW?Qb7sU0`{LFL^9#2vI;eqn+L;tmpb5brp~1-N@U zt%lVY4dwSJ4?}HhkJ5>!^fQca5_A?ghtLyx7pEZ$H#6fIp-~#4x2k-V4HFI`cn?4% zOZGrV!pd@XSHbKfq1wzu9dua+X;blREbd1QT?cnOS4d2K8%$snXx6OtHi)|z9yav= ze#9nQD;7dU=%jIpxP!)_Rl*${xh1CS4&!VuiX$j5j^Mr8!yTkJ0^$x5cd$tDO58zf zNJV+DFac;o+(8>PqgeAw+(ErcnS>NKcT-)dxmihyTh+rU`S6Z#R;SWtsiC)vr{iX7 zA~koZ$k*erFDRy9;#^>Yq79xVfrS+!_N;o|7! zCYjXiS+bp0?Nup_=jvROJV>!mCGH?`2a5%)30|a3pDLXo zHBGGUyNEw4xQiWX5@9NI(`uYM*fi>n`qAEZhoD|S3b;Yn?#^oB4)SlK=z}ak79b0d z1uESF5J%us3%P^6zuo#(<3FxOZ2QiAZg_Y3k+=`D)f3?#F9*GUGBB@{pxH<5z7f>> zYM@`Spzg<6voQ;?018mYs-OmHWLfwYMhD3Gbr>~ZfJgu>$IYTR0*jgo$Gkg37G~eV z2?t+naP)Nn-(%&cB~%-8JiSd{!x&H=F}g`SQpphv9TFpIgnq*{rf`3{RmA= zI7bkEap5Pz`fPdbOO7V(^rVung>1w9wo8>S%54+7N`mfkX`_E1f&-ry+C!v9&iEwq!&OP z0qF%u-y~@S4FN?X*dS0C(oD3BVvtt+GJJ626+ieCged=W`liIZ7PX5zSemY{gzkwG zF7cYA3h9^rp-@WD6k(Hj3<)6&g6_$mFJp5{hm1`RbxARN8Ffz$_%d$m23crsxL-l{ z)Edfy?n%%|;cxd~$b#-E3Cj2#-2cmvOVd3?!g|E+3c4pK+HeQo{p*#2ynemD#(ldG zcMz;l9jP?#;CFeyX6}F9@%w_k9P}7kWG~vIZ6wI z(4kr2V1#>`aTR$z#IrC8sBr{ppjX0V#Z58jGakbhL9)v{lf+T$I9^PcR-<7R#>6m{ zQZbhC^wlPffkl7szT+U$H$5}WhMjD!mIRmGQ zo)Qz&Q1q2pR+DTwWKrcmz^65|)Ri!v|C~Fx6a1u=k>gnD(UH z!R^g0s7>zQ={kVT1lo;J4^hU9i?4wzj2LNY9MHCcyo*|%X>`s73yW-WxP?VFanmRM zU%lJ5ZT^}1(v7e0A9N#aQ(g3P@I@|507QpRBYcv|53TQ~HvAB@>F(a#Y~QS7+ASC7 zF6d!C@9cj@r}193d?|D2SBbf6$GZoveQnFHgT3Jl&XAz#Nf;-1mK-FYR+zc&oLGQ&J8&l>hfy* zwvi2vN44)^KRRf}TlLX^*becw{`BpJv;27@A|CZXLB#NaxraOSIpW@8Wc14MjcqLj zHAKn8N!MsE@96jZ^GF?-IDF``{eU? z^G9t9tGf_)P*nt!E$VSv+S@s3oY-B%#JCE?Kp_61t8P_Fo71tx9h@|&g;X*{XK9xG z<2=Lmn{Ryn;b&9kM!FQ-`Cvf)4e?!2@SRVSdwhFr16F=F>dMqcuPX=k+FdX^Xa2Tc ztBO$@gJ-JTsMKnNeT2#lEaR5UrpPl?#t4nUD2Mq0@$$DUd)EQNm+{%#1XtaB*hVw7e}zX_HYL&j)1s>#2qYB ziQ8%z!;6?$jZCgmbCZ(%nrefmMX|AE@TNShtINof?bK-V=cz(F=oyofc&Uqf~|4kN- z&Vrm*E|lq0i91*exPv;E4%fW8ZJZ$13lMh@-WO6L z3y=lK0%Uk1>h&#xQq2mB9j$B620Z(-|p{l`_b|BSF>k-v~WDzJ8?`}TBL4Si}!+@^IJ|ovT{i0 zuD*{~JsS4RvUA-c?Y;62&J0@q@z%nTchYu`xZ*c#l6`RJ53(Bt4gc+r2|gF?UwrA= zMW($OTLVH0N89g@zUp1*k(oQC>*DKeuCMy4xx#aPWZ$OK9?E(Ld~-r^s`-x4rrxK! zu222znCiXhr!Evs>9TTnc7EpTx+6PhPTErjckp;*Pf7T^nZNeld3tyHkpq+WeHW>B zP4OE#?{g=ky=UXogZ7`>dU(ykOA9ZIxEb|Q|7N*D;I}@v4jw)fxU){(Z|~=cIYg5@>?9_*5+RTg zQ^GiM#M9DPW}{(q2e2%vN#O%nW>}SRF|c~2TotX=8-XaoG%QXS;`WD3Aq{*9y*4Js zMV%GSs)#$tD#x+O1LT@y6{}~Sw^9);MijFNOL7tqf}gAycW~1aaR-M~j5~OsBHTew zF;cKB?%-5$rN7M`%zRSr;FnLr9gOlO?qJ}gV_vc0AN+i1TH~2_o6I?BTJvM~w&>2$ z8(>DfVyR`^CQig1MBH2s4_&OgASKBw&bNDj$efbMzk0(xFQlY;s%dkbP$E_Bspi->ZM^>e}yHxUXhb9CTYNf1}UR zn0ngFCo_8I-!|OKXy*0srmVH<%AKBl3t~ic>xP$!bE&3n}kOjyBWPwVz0K^eIUkkZ|UyX|zI_}J?ZPUWHt=_uk zhl^*1Cf=F||9IjKVhcdcsW6;`Wz57KEYjjuSeHxOLCrYrSe75PM9r&^aNh4&SP+_8 zGG9h%O)z9^ey9ua;mbHVCr*Ed>oIE~BjOS3M?uDcRqWf2f-KDY-5<(W7uVyl@v>o= zxP!zUce1)(F{Gy&s|*HkD;hS@MHdb8U0c^ zWb{Ai$71+0`mqLl8Mk$VEch|pui(d8Ls{@+g5N{m6%1MMV@XiP@8JGlhK&2cZJ2~} zjD#{`aE0|y#NA4S`3PRw)-1WjRq1@v3p^!yfmOsEB<>(_2g|_giI`Y4PhmjU0PE{{ z;YBoY2Z=jaDh`VD0%ecl5O+}QN<==ExP!zUB<^65%&1h)$`6p!JcTw--m-lvaR+Mw zckta2@2?yZ`OO@B=OD~eNZdhub3%zMKo%eikOiv30;Crp?x1x*1P4`!J4oC?Xa=Mg z&?i`cIo>ZN0gY%JTVgptVbJNdV*n&+*J*i=W7oTMKC)s_?&DK&H;)EI4sk3beG?A8 zViE>xg)%OazUgo1n-cR{*Dmg0X}Uh*4np(B-|>G_FE9tbI!Dk8T%4RZL%Y+jFYb@H zgJ6VeNu_ZISD$;lw})S&D<@jZe*Ln(^gm4+?R!@sDiL>ZpZ#_FVQ+l3Jnvl6_Z{B} z`0Hch4q7!pjB7}8@*v>8M8N0;a$Fb%wBjQmS;7Y)CSV-e`yyS2L7(v$wphV6&m?6S zjap42@?yfY8V##3CWfh$im{BRmF!oN1gKR^T3&{7uwIAW&Y-{TJM1^twjk>gvwTSPCjg(M#B4;gK9lF@J8II5haRkI21Y=Ml3y=lK0%Uiyow5f&M!6vU33^}kPQ|aM7^PBr$=HK5w;l<}jmrJ@_i~0n|=8?{X_CR10f}Jqq zdI91jlu?j{uQg~>j0qJRtq@*B6L-*N)IvO`7R^(rS1FTl#zuEjU8%WQ$(a(XZN|oMR;SWt zsbN-bJRLVv6REjNO`Z6VXA|y+FhrWVvzjQf?Xy5QWf~ zzL`c=V^C@}25h{<9jpc1!DHqfleAr%$i#X9;ts+)eM)2jvH)3tEKnsDAiV%_2Z=ja zjY3h5deN;kPF)FJ7a%lrEEmr%gtLdUDRP*SOBgLHf4Z)ITD>|@M7)XMv;m=Ps#0Sq z_SGa?3N%k4=>_x>1$aU=%mk}}oXU;=>*22frku5x!)j+!I~$f!|5x=y9(n-cSUYZrI0G+kc_KgKyC-p5qPAN@neCqP)h@*F?) zDVwLzhCBG;zkbyjx-YWhnwgzWQm32id6y+FeP#1xGN*-3!Av}?q*TckD2+SVR+D$| z=%6!o&Mn+?c*c<*5}STAB!#$xllE-;LcMVFM&b^#sd6LBQ3Vk>H4B~x_*lS1+(D&W zC1w+r5qA(B2_zGfv&q7aGrL;=ilffQU#=G& zAN1#&g{N8#ZV1>gBJxyQH1Hn99|)Rn1?URMt6wYy+;&irk?Rv%BF;hbOZ@r*#f6R!mS zwsA(cGv^zuyj2zj6Mt?oGT}XmglSs#HL_gcgYZKQ<3{jz8`C&MrvsL++z4Z$!ahRf z29|M4w!CqP&=`zzjRK$VOv|!&9Uy!ey8vC_oP?huj1&LrhU*}xVe8kh6@MRMip?&p zA|B+_kn9VVUC&}H*TsI_7P((zzg?;I&W@fIxK+C4c&18l7nyJ_&fOml91;6$)24x= z*7$F@KX25wf|eOKUu)js(v_=!#INy9kw~KBn}ppR;kCNY)V0ryd62(nSW0x((tF8U zWru=VEg4|kB_2uf3eRhz;UbWTl7?oxBh zlG?axJBJebxM#|c4OZJ3ag))4X&Recq~^voXd02mmAHe%9sIAHAF<|@;s_{?Kwc`H zl5=mRYF_KP&6YUYrAti;`)VBfcRXrhq-M90ezsZ!(IOv9+(F_F5_hm-k$l7*w29y= z+ow_-LCwVxSh<6$R-XksN1yu(U-J`p5MQoRA`6fO$O2@6smvH)42Dl9;H0pMw|z}iaYX4e`AV`pPwIR!rT zK;a6sS*wF}T3~YNfxD%aSeA59ThGnSg?z}VN?2>dI1~m;PvmthxTP_> zL-*+cc1MOUO&Qv0B;&4hn&oB319_eUD$b*Rx*5_2``b(Qezj%OyXo_u-R1T37*(k5 zx<0;eN3N{H;Z+S@PW5_B3GuP%fkDC#DY+I6c`;K{||Jd68R@9&^hh{Cx8y@o0XE9et zdw&0>)0?W?gI-6{BVKR4=0fDZTBSZ?Tp4q{#fz^ka=dct)QE09uK1n5)!ja>#g9{( z$G+k?HN21G!?!kvHT-!0(Qw_rPcB{K<9{jrc6alc8*R@eY$r1HBZm!9=Dl855E1pd8eW0e!Teai)}gvbp6SB=z$+cc3s!B zh3jim*L(aYbfIte13p0`E)TlC>fnTVZui=XIYg5@>?9_*93hbFLuMQ~;;DpjD@F>E zIgEzQ9l*FqK!OhmBgLwWi-FYxBQIL3HzHxEVX^N8aQj21kOsaaNPHLphH3QWe?O6#ux zm5#WB++$H9wy3zwnN{@1+%*UAc9U92CBz+6YEliv9UL=mZMzlIK5hQcv^RI?kfRTq zIH%11VsVxEAkz?{s?GrO#!!w1C!yzj3BO9@zf-s}t|M8UE48 zN4d*)roVN1?>jFHx!O|F^~SBJG3&oSw&KV2pLV_-(5wH-Lk;qG-W>F3Sz6=Z#zW0R zKl1$vVcIeEpR9^MaEzP7f0|c00Hi>{?k`JLQXBzs2aC_kOWZ+0tS8dA5_gcegTx)Ia9{<+ z5l|cf#S#3iU?hqoup!D(96_n@QsNHUv=ZVDmKI$Rrq#0n0PwDyA0!K6I*%^VnqF;noW-VmoY+?N<$SByuzU?T;DC@xW{UKvr zT#v^_VIGzP`DNU{FXYmAT}@!UnAepp&wa_!q@A8raykkft5Sufv5K**J~6CELL6uX z4GEDT zh&u?zphOlR3y=lK0##xG(hCrG&^oY-gTtL6lopU3**Gc3|P0`hL~XC z!aS@2v8>TZ+(Bo*b!y@c;{C(8D70#wR>NwHhVn_)hoQFc9+h$8BX}6bHwoCp*e`JG z2{+_v$imIcct&WHMxbOXUuDCDgE02KTxBoV+a3pr#1l^f663B?8jPXZ%tRe9wFhZa z@oZ#{h{senmq^^XN>HsnoNkg3V6da*i|O}17ngo+-Pde8;3 zsTeKSD~(y!nw`8wXJ~t9>{43mjfZ;HL)+GiHEMO%dOO7RJd(I>7xxbi(`q!pz_wO= z2oiNaD{k+dhAKU&$7Om zMpk1`!h1M$GWFbMOC0Uer6z@a$pCY0hF-x|MQFL>Q4=FIyV;dEOJfc`*zyPCBhu6W?m42t z7Mmv5bLMca$?HPgLE;Wtn0f(GeSs{53)pfEp$WW+kbxq~Y>7(8Z30H3~@v)HK3hJuD?dPJ!=R)+KX$&_PWDtY_hy zxJDxpzMBGD^swhZo3%Pvr-dWwVLxh#Wl0C$)^q2=!oKiG2B?F_R6tn`H8qe^xmv}y z8n~nD;TUo_(?s}Q62E2~S0B&K$n9GLdoW0dJ4oC?;tp~?khp_3o{9Vwwu=AvaZeOS zVBlWz8p;K(3gh|MB#@tqq6&eZ>d%)!kReHjjH(Q5HZgn|AF&PiGH&YzS>UJQeg%GN zYbXohbydk7eEXHp-x%?nQxfR~o)W#l2I3A9caXS)#2qB=U?q$Mi^sM+${2(N6{8JU zyvhb*`I)ThnRdl4)(a4K5MIhqA`6fO$O2@6DzO0R1z=2`^a7SJbK(xNY=kC7 z8_(v)J*m|lssfl?3wTnAgny#;eALASmPg1DRys7`t@jc~wfjQ7B zt#Jh7*R<@C_n*DrV^byWAb;Oh?MF%OV4cT9Ivu*-=(~ckg&j^M_#KvzFdV-YPp3)M~+^?c?qd zcTkwQg}8&n9n{(Y3P1G`ArBiU(pbe<#5@jQGy7_ctRCQ7Ryv}jg(Y?YJQOAjPq69* zW(DT@UUB`^hvEpzizC=rd$@xXM?l;`;tm$6vWPoq4XLPzK&^qPj@0Z`Qlwt>V)@ee zSe_4_t->IDMk@9WX3@1n)xI0E7h z;+qpnWC5}OS%5516&8Rvf){Ebckqq)@n5u!dimJ(_2RWErXJ`(>sQv!{caY);aB`XQ1E`+zI075Jz)NAqEZKu^Lr5?1l;{OE z5qFTdgTx)Q4w4rex+xmVqIn9%gE#`vhV%kuGO|c7Kze~E9or)AAaMtYJ81h1CcOa7 zQ%Lg^CaILHA;Bt=eA>8!-@JFm{jsF*u2?TX+`+0CA)|eg1;_$q0kQyEfb;^y9kfow zMBG8*4)Sgh=l6<*vM|3_GGE3p{b0z#{9X`6%au{eBFxu?+q95{`Msi`EX?mU3d+L# zUj3nrb+ONjV|*@rIgnq*;a^|KxF6hxNf-+xy#VP2{ulKE9cmYMurysCaRj&mXe{0D|~5@`o%xw7#F(@I%n1yL)r9eY1{fw_KdN zpof{bgD$VeZyVX*cvSly_M?Mlyj33!h(D3=XV9l_H=O0q8xgPBfr5zP1#=H~=ySxq z#mH#l4ia||+<;>!w2b!fOBp+jm>4%OK9VG6cot@YpTg#TVQuKFfNH*`@XE7G{ zZoh7e+^@0UuGD&GM^6jfD&2BCQzi6e@db`^_lE;V#6H`!Y2c_e{u}Pk8%5kfJ7{ip ztt>{x_fL!?N765gk=p^P2oVg#9VG5xm2e01zJK-niX)&nf>QaEYJ);caRd}cPyq#SQLI)SlM}@eP#gip5%7@%rFvEo z;uavP4E~g8SQ4TNZ{+340I*C9>yf}up5xt5sbUS@zM0*-woQm(Q&>H#QLx@21_P`9 zdop!fo~xs&q14>IrcR|ymaBAv)HJb%&I&G&gULZ^cCMl03*nyj@a{+F+$%OqoupL_ z)mOco430M&vXwj7=JO+a>Mg!82S;e6j85X(-C0fCK`;g-vH)3tEI<~h5(_{aL0~Q9 z4w?#fuRG>^`_QR6&SRHdT$vgc`dtKZ2Z=jK+(F_Fa(Tkvo z*l-73>P7u$_)p!IkzSy*w#v6frWWZ1J|gZQaR-SzNZdiv3sk}YikOi_+(FU{kY0fF z0#6JJ!!S3TPt(ODlbSuNd!*5dHVX)gO_S?&cqYy@spAm)RGO!d<|%wqpGtZGm8z!n z0+P60AD$oXduQ^fGY?v2=Dhq!tQR2eAiR8`L>3?mkOjyBRbm0s3lMkE3Wy`_VATsn zE#qvz;(i5wYHKJ9{M1U;3)pZ6 zwYNPAl=HQr_+EgxgJ6WJPbIm7-^^J!x$y87bKdXUoo`?4elq)mE@1+z1sl$HGvEL8 zh2CeDMg7_&jcM+czbeMT*y7c}L{4qvKW6L74W_j81SgPlsnLg^Ln;~tmzrAGdS6eo{ zn?CQ^U0y$rQHAQR>*EV|wk2t|@0d6GkFD)*MGe|= zXx5^<;UPbL7ISs9=l5?qy{XDQ=yfDL;`P>RE=2yTRq8Xwl`+>_y!hH8$1A6ZJ7{T( z#2qB=AaMtYJ7|irkiF)H91SJzpk3kai~q{^a?HDXQFv5hKkHJXdYgXtbH34I!#o-P zkn0DlHFNYH&eEYv8f8dlCuL)FO&b6jaXvMZYOz4#80QAPo5-@EiBWG!D_}R7!>1sMKnNeT2#l zEaR4JdE*kHF&O0#JT8&hGcC*Bb%5~YXJD^g;GBe?B8(IN>IQZoW7M$qYuJiEFfhet z7h2e_rgjz=GU=FCZ1@L1ADY&9=G`W9PMX&I*u5>@Mb>}1*bL&bWq(C~i97gU!Se~D z!&)vm7eC|WM(yf1IFZ=Rr(LT6hb@j%Zl1aQqP^eb0EelDt2^o>$vVcIeEpR9^MaEz zP7f0smAHf0oHvNk#vV2}!B`#3CS%KX#EOMb(SvV-jHgY-Xt`c#%(B+(&Esc_eYT=R}=WZw%9FG_1mCt@scsT2HZ|s3N?jP!y}% z!$%@%D6u5QO;k6Q)fD}sCqOOh&F*1jGmW9z%tZVI0<^f|r%=&)=mv^3RxuW90xG(% z#>gT}+Ip6f7M6Dr!ol%V&XNnu}&kSNEaCPr#@t8T?Q4^Rsy?w~DbkKzc*WVuot z0mTtKsZXUif|`pXuyP0g+VkPOT?6&pyobacb3VG`_Dpx54#XWK?jUgoDUN{ig%n3XaRd}cP~+S|iX#ATlnEIn zK~=#W+~Ysz)}c=E-lP|JO7sF-h&xE!LE;V)caXS)#2u`}nXG7@!oEYxR3Vi)rxxi2 zXr4lvr_feDA=Y4#UV!uhq!)Pd>7;9%I~b@M?7nmCZhztqa<7Bw4_SaLKo%eiRIvp} zFF@QuD_DuRgTx)={SETEVxcVXx{~=aM!p9_7I<9{Maz{@$|8)L;WjN~f!7rUWr5c< z3d#bnt3Q;5aW;(E73TK}gfcE8r@|L9?gzJF5@LHvFF<;M|3$q(=i0>`EKS!(+(GbD z_&Yv{_gCo0UWQznAB%+bh(8hh8x-eY7We`oU1QQdI``X8xIf|!f)T1dmE;co>GRtc z?@saZ@R-o+i|>E9XzXx5dyfE9ih&a%?%52_X9pbBQ6iRzUttF zd2aXGiuo{;JnSSUxEvvn5mUlAa>P>!<5r9mBy$)In>#>X2D>HjAz`Fgm2rWoTa|KE zG@wd={=qaX_PqdZf6qXD4SY$sWr;gT+(F_F5_gcegZ6=ebr+;0dByp5k0b6NJYnGR zfZR+pv}-L*su(hF+-0CI}4|464VlY*1|)_-nT7r2Z=jK+`;gVMn1}2zBB!; z(|g}}VaU~%lCC#yMU7ei{jn84uK%?2?SNkWR~~ARzw_pxN6XS02R9yS9{Q30Z#Nzf zJMoLgd!SQ5mqTYST>j}B;tq1&$6ci~a40;)@D0+Y8m#U|4VXZ8&P9QfvW5CZft0{n zkGO;A#A^$8@H=B+YSN)23n`AEyf}i7YY%sj;s}U4NZi3P6~9GHtVSkRskuo>HW$Ec zRjM%Lw6gldupS8jl1_!Fgi4fT^L(x-))+9rXyhi8Zdk^I($#h{VOl+lGg~(>)sdRL zYQid|&9m)U)7MC>c_r>3aR;AN^Gb096h}aD1QbW0X2)ywV-o_R$__@tM-4oH8T={H zu;c@HFl&@2L%dRzc1glnol2XfW;I4U6-QG;skwbkol2RpS;QTz1>8YN^Q9Y?e0h_b zr;xaV{A(@xAPbNM$O2@6DzgB@5p=1A+`(Tb@7$tV{z>dB#>}DnqSl^oSa(lj;tmpb zkhp`y9pro=#Su^(0mTv2ICqfZ2*4W&@l#d79rXC>OMREuzWa&v0#AuvU@LJ4i91N# zLE;V)caXS)l_+u{?qJzNecVKJ_y?ws@0)34H3pc6-he}Hq!%E)0OdSs6*Z_B>|0S99v>JKw;47wPQYTX6!mG?{VyUm(E94EXsX+D(>dd zpvWPPg`{sHeN!dtn-cT7)-LW~X}Uh*4uTJ@EbgFFm{Y+Hw+jXfcTg#*)6Mm~%MzEq zvUxI@(?X|UCf-gd>dsN|pITsgYEI##Nt0SgC39oIwF62ic!G?6dOok2lR04T?Oh?yzHe${{Py?p_H1U8e@`$KJYY9` z{%GN=zsd&tzPQfIS2H=fwbP9K`k3y0H+{0}U*-`HS}!s#4xO{iW6DamyDjHGG#$*| z`rhz1=ER<3lFp~E`pqTjs$0(N&IL1l!^WJ9J7CCP_|w-T_bquPZuU55Rg&H6ppNeh z>!hFY&hPKDI*K+rPQx3d0YtRElx1TP5n7-Kk%@J3#U3%V{aG}GvcvHbInH?fh zgEubR-sfaL({8`*%?{sk3C-xax&1rIE%!TYjQ#w`CVBMN?M6FaOf-%>o-^o-Vom1e zIfDu}jd8o2^+#g-MdA(`{k{8+gNU>ceX0S8Rg4!*qQXce667OdV!TAKlyId%q19+u zg)uQqrBsZSxe8x70~|#!%QqxUL(x}aSxs{B%6|Y$wV_bi6W=YzunJZ=j!hmQ*CeZ0 zJ@dS;HfanT#^2l0jS|->dA@rAyyYqE&m%&kGy?un`6?TxRVQgfwV5(!?lhss`yLVr z{Ldw(o=&beu!xBS5CEIZ$b1b(y;75Eu>;&b5uhs-dLfLPwRW^zuQX=KthB(-pqhaG zV(MC};W-yoa(&j~*74AyO0h<*uBg&PaV1Z+Hd&dH6`El*!uVx~LctmgjF)eyR;yy= z8h`KN1b8?QTNzdw}+3Y zt6OWhgVSBx*{A94r&1h2Ij?4!ZMBCxNO1(j9VG5xkyg!C!x&E7!P3!b#2w_Np0@3U zxPuA2K`4%Z;s}boYPkyFA|G3)44i7UK-9!sS67pR)a+c72sH>QaWToHX3y$A39EoR zAS^abuIDhf&NX>m#6DG42IjU}8p!bC{Uj%oy|lF6W3`+OaR)mGbPWhh;Hk_MM_@r! z+V)3;ZW;`|Np#M=VzXe_N;R}%I0x1+7KV`io7$-Oc3yo1$D0k=I!|H0$wyxfFL>`o zaU4OtW{eK%P$CPE1;_$q0kS})TL9t+y46DNV7JlTXT|Mn@=MD`X9f*9G~#`DMT;5wm<{}w!7%(x%SSO1FaR=eTNhHJ_B<|qSbj>>8$a@wm2ymZAJ5?w~|383$fe zFEPMhBmAe7Xe6nyMEa&m=MHwSUEIOabbTdsPyMudbs$9a>$C<|#88URjMI)~L)lcN z#!~F7NwyUJp-}26*9*)67i^6qi2wQYXU9H$Tfxcb05M41!AkD}+wQO=cktD9ce3xj z?zm-b|J+B3gV*b_dOlu8+(F_F3MyH~MV%GSs@PPykwsbwgUG2_ATY94a20tyu7(0? z9Dy3x;l?Dh=YcUy5-dH2ErMj1c}7G43=4ColSAVsbWF4rg|^{6j{akH1_mIzH&n zHw#a-8s03|{ZY>$!s7{*ka-i%2I`hn=!1@{05A9%om$ z`{KXyy&UuIUKF3rrAGBO{qE;{qsImSCtCoP7;&JPqxW!@4qeh@#z>*I9V~ zUj1uV*M8r^eKoV)YD!*nbAA{w&7kzGp~m?jotFzn_j2RikFOq>P`c{ z{HXY;PQu^UI7Me^mi^;A!}gnrJIJ>oCqC4Jd;F_m<<}4ZgMwsCvDrN8y3(D~)R628mK$^y zW4SK&>$b@K8vE@^t#@|xw7{*>Eypue!VQYTXXow@2abq+wrSJAQEU7++@CjUTS3c= zo3AzRaOuj`KjPQ;ra(wge3P)dBfM7knY#9wF%Rd5T6!;etL#uvs|Aa;kGtpW zw@y7~+}d_4rhVG{p=odK&>=@3HgQgw{l(%mhewfXOuG9%ceZ(q*l{i1{od*Hwo9MO zaB0CigDG$d1KWRpb>f{ji91N#L75|VrpJ4WsLJ&fJc~L}hrDSB*~fc|tG7d3&!c3= z*;?@-RJ6jYpGxlFYa8Evd2s|gY7cji;s{D3#{e!~RV|WIP=Ylvxl7G0 zOX7v9?Ho$*be<^#GgNJ7#0hP|G>uIzQgh=PG!1bF%LuR#1ZoY+06|rKQz?y){i1k12<89lSFEED0v=LOUEMaAaMtYJE#JvLW0#(J;_w;aN`np z&;V1~1c-eqaR;r!dEyQdcW}H`KekrK5m@yCLvBpJ?lbs>$>KNy;ts+)BuZofvH)3t zEKnsDfH;B|Yaw^g^x)oMhkL{SGvnM(rmW@fZF)Op<9y-{k}kKBb-BbH4_UysjuH3%ssTP!@Py{h^F?vCoUg zM%^8j1Nmj#zb|CmkD$pD&XKr-#2x%!Q_ zOL^0Kl3sxH0<~T*VC4>KZar@PmZZT4V!Z%y2jN9AC9(infGj{3s1gg1UVylR)`4B( z4ia~e_cFvCB<>)P1X-X5Y9$#^QgCG?3+h3sAeX3M22!mgiDL~Ccd(k~RZYz6QMx3>?y{QkG6gBM3AFP;!cEjYd9cQfDr^o8DMmPP&AB#mkAmA@*+ z!Pw%}x9+DG`b17`<3DEW$`2#*diVaa_X}@dJ2Ce)jnbgMEdO}Q{JPzjFK%hf?$CXD zfZdVdOH+n+8p*gToo0F2@eCGk5a-SR;Ly}K*F2|B&KF!fxx22{0sStoe3j3h0k7}< z^Z9G8Cqf$qb*{HI<$8}ji^e9!4D6p0I>_}<-3dLP*UZTru=n<^kZ0dFwK0DC`*?dc zv&p|FmsgMD9I=jE%J9NpS!#(sTF_r9Ax+4V2;hzG3~85f7nS>`ci zrQ6+>^BFimQ7}4z6PTnCiHI0)rQ-LxP!zU0 zkV=R<2+tn_($cyBSx^XXV2C?N+(AH=HY|=T<(T}~S`I_D_9&hB2p)#CG&SQ&ik<`RiJ zw@28gEz~bcuI5PE9Mo?E)I&@zCdR`S{)e3}>kbQ{qP2(SX;U#;u2&kftTj7%i_XyY z(AZtH)+6p9XLS4%x9h|6!+q~e-sSY|$BUaR9!GHm<;4-~tUcU8iX$NIppxPU%8<)b z96=d|p*RAHBY<95*+NF*4ia~exP!zUWc92@!Fq=n46HioZ<&L*gJnKyAo7U8pArpA z21QjZRkqalEJssAskwbkol2RpS<(qo)5ID&E4V-oCI_k6xrUA}bkpqNC%VqLS8SF# zNvj&FuX;Hd9B(#cD|fKjrFuVXn*ZkpaU2102f;#=$O2>mvH)42N-O|z1U+jZcQC(R z>#5&#oObiQUs6K$-E+Tk_Sar}h&xE!LE;X|GgL-_x>Y`YiyNX8&752IeBs0$91GK+ zC0NFIy@g3F|J!na!l2V@$H26JcAb{@ICi~D=OZf?k@qD%oFA z9Dzamzr`J#qPcxVadk-w=>?t=y}&Nw4ia~e^a5oJi<4fU48sU7qG_H&8~TxWY>T*q z#2pkQ6}BWbZW>nnL)<}_hfd^U>y$A;ZnX@4MzO|#0Y;+^`H!wOImpK~4>$>$r;z3; zEL(I$+(DZsZ`nST^a3hXP3Z-!aRjR0WUaDRrMims0>mAxk|8qMDOrFlKo%eifCWe| zK-@v%4pyU36o!}W1G|+rzFdOW6|L9mSiMon8sI&M5yRQT*%Z{YwCgQe;EO87BOxWsFcDx_cfhmKExeW0U2ekwv3g!xwe`7!_;BIA26rjMWc`;?#SEXuDD?%w!H55dJLvsH+`*U1 zHQS}H&C%AUlAbltYQpz?ZC)7#DR`IICh) z&w&00jVxCFLFdDTQju#WA z)o56SF)>V~RE%Xjtz^HF96v@Xk>F`yV!TAV05_}G1iu^xLXVbavi{C4%J#}FvK0?nkjJy zi91N#!JOF^I3RHcB?sY=hrn;}*%GF4h)xI3RJjr6w-nyBgvt#pm$-u~rhVG{p=odK&>=@3HX-g{xjjna4%!e3u}g_vWe#~r z+(GQa;OhpfUSQ72YxTBI4|sv%2+E5i__X$L2Puw#xP!zUEFxqTQ~c`X<6(dcrKOEb zu2OT8lKhxzn}l7gb8T3L;I`UMhT;gygh35bYSOX6P#gip5l|dKIcQ1&URLB|DUQI1 zffa~Qqd0;DzI}*evt*_^QnOddhj6t7nhY=APjWKZOH1oLR?FE?90A13?mkOjyBRbc^$Bj{ZVxq~OSt=Z_fe|+zt zFaNy%#n)X{Et)cB7ID0Y<5kHVul~8wjwVHR4~iquX$@>~{cS8b96^7Z%$IT4Js7f} zzxCnE*fa$_EpF377WB7KP!{yJqo6G4Z~H?T>tdf5k1gnL1Nmjt_xeIEZQgH+BcM0} ziX*5PAYZGFyW)W@^;-M&x0Q{5S_t1|8Ll*p45|q)e zp&xr0a%p}n64s-f3BSW98@<5vdVzqh z0fE9Gj_@LyxPvyM7UHoj(hHDYfb;^S7f2YaROqz^ZHh6WNR}25RW?zM^a5r2RMHDr zpTMLSuxTaSO@n_*uw02dSPQs=NB!3iUHQ|_ePX=;aR-H0DD+7dAPbNM$O4sZ0n!T) zchEYpi-W_RAq&tG9O!rvvM|7l%Y7i@ATK8Dtn`B{40hmhG-P4m8J80w<3I@}Tuy^5 z-aa0dalix)!Slt8 z`@xKL@Hmr6FQ6Hx9m~=@g)~p0UZMa`sFuhj8px?o*290S!~oKd3@G7N1N?{Ul~6+k zceWPLYL+$Qxb;{z!hcHGM=ER~eN!dtn-cSaY8Q8~G+iHY2l>a#-_#4tfv?Yr+x6l3 z;l6h!?{{Bt(sRzO>)d0}PARF=&Go#?5|_TRc`}*PLZ@IR9#&GSJPVZM4z}HSW{LK* zyW;{3v6~KiX3i`a)H%0CxPvE)xPyB*?%<7D!5#dm1b1+=m^(PumOGgDU%7)xrEmwG zi8}}^MB)xgB=37jB=A3%n0h+7-oPRz5@ftAE6=@lAnOeDO`f?vC(U-Dm3BXU06p-!m*FI&0~@ z74J(qIhL zW^(-MLE2P28w=D=4aj15ODzdg-v<5{1@bIwy$w(gY2KI^51V>i=gV5L5Gq=GXr49| zE&VQQ%}(B;GqgQ4cD=0ih&#v`9sdaL1>QNI|4GDX&oLB7P+lCt=e37BNO1(Ekz=Zj z-v)T0CMI{Oxn)U~PPLsw37*a~HBGhSL}6f>#wHi3xp57ehT;gy2(X|y0^$w|BKcA^ z(Q24SgyIMU6BVPGLMM`=%azc))^nRJakNX9niTfc$O>>gYGR~jw~~IgS^#PyA4}Xp z;tuM^CfMFB;@B*TBcM2fC-tcmM^JNd1XjJky!Llo!j7)*jCuhnqm#IHcUBX35Z|0o zA`6fO$O2@6s;~gW5%j5r+`&GRnr_IPJn#JngL}`s)k3oN1P1L@!@GV?Z z^xYKLqK7>P+N{;VIxQSY5BpI|EK550ww^l|)Y%qS-jXgi5w03)_c3ATCJnM6*}-Ls zBZ#|sG$?Y2V_^yXZPC1V!nAndmf7@T1Vw~U7%bPwQ(1jjhRT?sXL(HyH$*9#`!s@! z83<)ll^RR2uO``2pg01GBcM0}i+`%s{)*xV4B8AmOaoo6;}ae)@N|(phJ>ODfuHKn zmqCyrNr#N83=Bbw;masGZNQgtTQ|t~_`>?QUxA<68p;^ThU=@6JJ>7a%I!Kop4mrw zfu}?-u$#Dp#2qB=U>U>WqOmOE4ib0pzs?IJ9@`@BAn663bZm?C0#bAR8qzI@=Ye%K zIY`aUHFP3^TF%8JlbSuN`y{NC#DK8aG`U`fGbTEhAt0<4J&D+-l3sxH0#E8wNiR@y zdI4)3!7DDSzeu~j{0*^QfVhM3GKLaafGj{3APZE91xPPIdI9Tz2yq8lHbRr44N8pV zhNTk2dL+adSiK=3l9g-zkG=B%jH3Ad_$9e)8juPhL?jd;kRp*5LrbHCW=MeufpEEO zk_*>zcL@Xmxq}2Lk)|Rbg7hK~5djqhr9?UiQbG}wD&-fNh^YME+q=DNs;FuFeWR1x z-J9K8-psz8-TA)H_=QW9GFBcR&T9YN@G{KrwKVA1&mGzyS@yxSr>99T0Gl(3(8E6zrAYv%UuhW47lTw$~5s@up-Jz*KEwJU(ySk_LN z%kSJcIp+a{ab)n~=jwztej1e=r~FXgBY0^ z!U7HguZJ)dMhYd4Knc8oVS?YX^hUZ2gRIi0@C}dtW*R1D=yWP2$BQBEAaMtEsVd|v zMi=KR?gZA484Bdkn;jjaGL~TMO(@2p!?^b432m#VS5eE;kI1<=VZwxFV$sC#HFk_; zK){=MU-<6(uyyMN1*eGNY!ce!3`_7oKi0xbZZnC!GiKwTw#WbmxFq$wxUb4jR**Aj?Nr-J<-x{dpm)7HqwGbYU`286h z;nDHiyKtN0pP!7g`1V%3%1qEo5`|jktpHxPuf&K-@tY z#Su^(!QY!>o4A9-9pnX_#2x%66V#(xoVbIm!e0=pMchH+4ia~;r@1pyre}@L(qb#Y z@Cpd=VDM3*esu?%n9d=bRm)Xb3YfhBPsLhaUu^KJsZ%MJRh79b0d1;_$bX#t2M2&skKLH|A7A4xtPzWi11fs-_mA0J>9DcguU z$j`)yW7GWDFfL=pX&`Z11JftUK5z`Wv0xpQPo&EQ*%sIVJ6aQaO^dYFOS6NjaSj7c z{6TR9*gShd#wLqZf#b6jM?joZ@>iyd0xt~o-!008FYuh` z1->EfAaMstFJOK}Uwn=v!B`e?2Z=jK+(9#yEdDP>wP>Eg9x+8GD&bYi9or)AAaMt| z87y)9mAHfEf$@GaWjdOKxP!zUQhNCP;+_#a~wg?smPZ;9rH~;pqCYZ-U_j*Ux$p+5B^rk-y-|KHoghKBBo;8 z!KlpRse0!Qo_IXs4s!OX+CQbagTFbxoGFE`h2)U0W@SXza^_orpWQVE&K$ zhwWZ8Bz9(|ojlQEWw%$}9rUSY>bt+L+Bw5MW&Bd7k(2g*G^5`mX@?&#f0Dk?DR=1A zZ2Qi)$6sul8K=*`KDup0O7MpHTf3j?so&|dwejKG_K6vtKlOVzsreqO4beM}g&}b6C%<=G$~pmS`MVc4BU2*X@!aL z6rc;Ct0z?{i;iOJfV4wT*rfnm6VB+0iK#ExC7M+x8Go{<@NeML>Kn_77*`DKjbf#& zER#*@Em0=PSq<}&`Rt68E@7F{=erC1p(}qpmk6ay2lz+X7&gpAt+BP^P7_M3auIM_ z>Zv6fEsL1QXf?|sk55~i#~0yYyzAnj8Ao$zBmc(Mg*zxb`FsV%c#q7~H5bEkE-K{k zg3+yW$0{lmty8Is3cV>T35$&#}|IdY^nL<$q^Y8g-OP?bs!9CKfE(OFY*pj26X0iK)DLUt<5!a`0W5o4MIOZ80 zzUJDYx7^>p?=kz7e)SK5txMt+@tGJiq881|xGqd7IXg{9jxrv34si#)17j@;cV2pb zfv0uu{Y(7K68k|vHK>>OtB>8yp!M(Bn%Il@20=Mn<6%@IxX>!QV9wS$^M85h zeBeN~GnyygMuJ5-S zOIFhaQ|)8lo3Z1$eOlrUR{BL?=$Hc+0pd$1sf|}buUOZxJ%*b*KKW|WoIdvX_tx}Y za1$E>Pv6Wx-qhovsRgux`uT9cmB|gp%KCQMnLjgU?v^eqPoz(^TTt)m)BvB8LxLY{ znA)k}yxa2IC94?r7hAryp88kZQ?Vg-%;E_2CtUczopv69&JGM5_#<;*`_ z{1mbGWiI!*u$+sa3u^*)n+raMg62~c_H~Q8$L6v@O$A+DN5Mg{Uu-Tl9!i-nb{8Ex zT8A`2`~(8DuwW%|2mK|jpSBu!dnLsYR1``y~_uK2m%Yxn;!EaUE7MJiDSEaA6W_z$>X&dU>qD;%!WZg{5 zSiTcv)c<37JT8WL;4^wl$S6<4?V}-MS==5B8Dj--IT14MgUA0HaRhi+Ghg7PF`NH1 zj0kE-dV%LeFR+`qgTx&q?jUgoX`aGL7zxJ7qj*M^i=Gi197|8z1S&?kJPaoSW;F?6 zLGh+ufLBkMoYi`Hj}GkEIzEc6q>}-mi?d!NHdvKD4~X%QB*Dma)tm!y2Z=lQYy+R9 z7lPk+$q_ahe@lQ?ivup0uyd0NnIF)>^-+*9{u>jnW5xj&9Eic~ z5FXC$kAdYlC{w8%FVYK;UV!uh;L~_T0Qsw0?XMDY!)q6Juq<64aR=cxg}1SPs27+G zyPD$&!o@wuWu%{r=WdG@Lq&BuIh?m&WZ%OUust^OZGxFN*huN$SYS#iU z3?Ef*j;79(&TEHH+jMK$_*RcRKDx-mlTcW4{OwiSU+!A4WWXJdRHljNf)!C#x@Kc$ z{gPhTw9n*LzG<76e-e?~wd=QCUw-Gt$vJN*Wm?T;$!C-1)(u>`u(>X~ZQvAtiz9;< zC&#oK#yH7rW_Vhd06hNC>)Er~r68cARRdMT#HpX9_FDRFx6oksW?5-rW1~j4eP_&) zPp#`LKXUd;YUb@jU;LD1^V1cdMtzsv4fQ|bKDmA8YuA!Q3!-d3vw8QWUFSa^@cH}S z4?nx>7oX(rKmIgsq;+aPf3Ni4qwa2d!F|}YGd{h3$(pp-V&ZqZ+x@aJtFFKQnEf+< z`({#`Z->@tem2!JIdSvKg5bn;vwN=pd0zIN6~SF5ESZ@*V$bx?PAvIt>y(^hbB3?9 zi0C_M?>7yR>&bTxLAnvP(2}#5mUlg z_f%;V0RWsUK?<_98UbIo1!JT92R?w)hea8CEvo_G9fItDD8ke)v7bM8JY)(fVJGaR zVq)wSS>ddlO_At0N(+MpW(W&72*`0rDq*Bh;s}&L6%!`-ElY2XI)^^vDSX4DznO*t z(uoP5Lfk>lXkdBb4k9!Xn#)dL{g}x-4!zmYK)c}xjEubr#W-}Bk!lEqdwLbMJpG6q z6PRjZxY7B&nfHb7z7JcsUQpmH-Y|AgzniIfbxQ*I@NR_4JISGSJr&vu-SY15nwIUI zbxgJC(wuoghVyp51!|?&ilvL0Lw7`mj<4L`dt<-wO64v(6BG^~@E9A@Ab(lcYA_V| z6f!>jVPc3oh=4bPlj&x4KJIe8$hdBQOfNj$VsPVWPER@yT&4@b#eN$gIT=lQ!EP>egc32U{~A+WCB}NXuN?X4zZYn>^O< zniezg=wlDNT!wyrBhKmJne^6+U(B#?W^zrF;1v4$eSh`M zd(*==4tp|f>6huV&g^>k<$+h5i#p!CJs@q}_s5p~ux@+%yZ&8zEkES8;LBV6o-9dq z4|b0+#BB6^aP#S)lh>7AeQo?Z96EdP@{b1}&3h?+L|F4h=i;Wm-k^=M+sTAZ#2r)t zniKk=*65^&J1FR3KR4XL8zDYhPpz5x4#g2v6i2Y9_HYL&j)1s>#2qYByq1u35_hod z>4L*l8Ww4cZu&Z6gJ)@xdew{N&9FEBu;^5YMvZ6Vs&^0@JZj=>%Fw)09DznIOT=n% z($^Im8rNX8gtKb7DoX*gpy25^>OI7U4mEi?P9x^Jc5%ZgLq1r_7BIMJIx z!4|>A41}^NGNo~`hcd~y0HbGWm6qkj2k2Tf%nQINTmG4?V#F+gBm;1H8E29WoB`V#3Z!PssdWF0MyH<_BzWJpnQw zJCEzBCB7%_AV0obiq{1!4mGRM$yhD0!gVrbiZ+~0CcOYOAd!gl0>y}^#7V_Q@&Ddm zCFJ(3UEIO4bbY1#7$;mZX_B5vzw|F1AAkG7Ch;83Q&@~U7_4cSH$Qqg_g=u>f-Q?X z$l||~(m%F95qEIPNHc+Oh!N@((|P^oR#~a3;p_XHl^z)woZeI%##35|Ku82aA`t!t zH{JTCYmafop_wRF%E~g?q}~!`lAP5rFL|pH(^#p_*UN};6qf0c2{FncniQ=OiU(m^ zyTE(9^2c+DP|9=wf|QM6!&DF*9I6^^Ylq-QoO*VZi%10jbBPFqoK-3!5Zb!%MS6M_ z;n0XX=>GP7kJ+d6tA7Y=T@tT|;%LmGir9N8IXg`-HxqhqPRP+v`!R7_hPj;>;1^^$ zqTAG2&Uj>0&*GEy_IK*f@HJt!kQKQ%KVoqHoWpIqA8~3nEOPlM_lkZ^&*bD8e~!3= zw{)FyKblgf&a&5Zg^G54zuj2Ua1%^uOMHL9*qK%KBBoJEplnf()7B~&N}q#0`L`B~ z15XTuS+Nlj+oJrv{mnl@+(Fl{J%*b*KKW|WoIdvX_tx}Ya8q~{nBgRB+yH`R$Tb41013r=?(Q z`S&ExP{YI>B<^6r-B&GrCi+`V)?WR*PNMBAQK!b9HtfhhHTBFOVLP*cn}9_NV>`oV z%L;hb!SHok$ov~r#$KvYs8ven#vd`^tF5tr^fg=)=AM+3T&C4Q_bEXQOznQe9TapO>pzd&!BvadH$%Rd zMR5ddMR5fAwTC-MaRkI2B<^4l>W8?4=8%ets7E}|hPZ>pW>FSuUMY@%;t2k(=9S_I zYEG|CaRl6a@DxW-UT0u%$$pZJ-cnpv@3C6KUhq}}!6pnoO4P6JU=to0T8!svt*@l*@9ZID(psBQSFZEtkFWzjYJ(C!y4ixPxE>N@M}D09k-6P^A`tID%fakUKbR zQp$JBhPIxqTK-Y+&&zJ5-kES_J#oB<<5kHVuU^w4t@YCEpwh6qDx1TA(7d`sLx;tmpb z&^$;^dI7U3NG}j?9z2UL8bqqdETk8RH(fvqtyD#N0n!Vwqf{{STt(MRIL%X7;--T` zpTr%EFJtak;tmpb@bCIm(hJm_UO*JP?UVC^z3)x5>}MBnb>iR*ph+i2%Q?0&^%`*pyG&RzGk3(E z>BJo*?qFqe2M;_mcQEg7aR!j$iiFpg@8M$1M5f+tdY9ro zE3v4M>kCHaI{G3(p=g~-T~uhYu#l@ll_X2f3eC{zR7!1#RLW|#jHh=fK!sR|($}lx zf)T?A;Goej3gAJ`0%|YBT&Aj$7+ddXod%HP#vK4l^lcN72x)eh7>C~M=opo;MA4ZI z@FMPDz=UI-(cx>Z9eRtngD2OfxWqmBcz4sy$77G2dhD0wV~9y=9v9N0@rB;XGeQCv z*Qx}6Cbr61Y@{IOn4pVw=Orh4#(D?FS`_ZQ^!@@*>)iX7_!}l-!GCH{FYi|$yPHAl z-?KGQ=Wyl%fQT0@p zPi1sna98^;tpE(2iq+K5}Da$*@R`tbr~8KAZNDRw|Aju$)n1mb7q|ae$P=20MU&LVL-&d$?x3(92R#Cl74#~b~JoF64 zSTB|zZ<6@9`)o_8N8CZ;4njjzK!?EzJ~1)QfJx-=&K#d`07wZqM}iR5^}>#YOR;j% zYL-nh7c7K=W*)R_v4Y0lmbqj*FJb4VSV`<~nahoWa^^lw@l(V;m$}^M!g4MGoM4zr zsRZ)1x!_|cXgzb-9CCSBDk~kyY7)YN z;vqyb1@zTkJ!NuM>*YNR&fJKNqn5EUR3gbmnrcb3f zf|`pXFmneNjBrhMXw&ZvVH`od#*A7-i7Y@CAPbNM$O2Vh0f-}rsD<3YC#o)|kFI*4 zZoK=prO(p-f9m&z?|Kvd`4UkQ{3i#cs+QBM>Oi@w5GBIj6i&U03f4@KN;DF-NJSY? zQU`xEu$BZl8TL1BOX7~8hLWg#WnnMeQnWA42aav+|NVU6#2r*-sz$P=+0uA10#-fF z`yCCR4v=xUJIS;RN+nS+WZZ_$qp4{bC0e6R%lMrNGU{fr`~b)}p&RyXheO8cByoE$ z$XFJ)<8Pyu7MBA|>v()`$Ytqoi91OC>c7Yxq&R{M4Tv!LX<}hUSxE}3F^Q*4qmU*2 z7*6KM`!QeBGWwr%$h;qmGA*MYb2BaDcby>fehiPx`>~d=%=Fr!xe!=h6q8Z{2n+tp;f2sK!w7cgHoG*4l%8G^ZshV%lwT+hVp@QALq zt490`{N7CAdjY@y&CST_()K%i=fKZXNZdiR5GAqzS%54+7N`mfkY0fF0_Fh`98~#F z&HF`q0n!V|a@HK&gd#E8-3s1uzJZ!zW1`U+oyJM2g}m+5qHp3UH?!oFdKF^ z#}W8%ef5)_)}sor`t2=JM0GkjoVQQ%Xr3;(uvfBnu@wYfK zcyV$}yJ3uz%w~qCg$c*x3zC*~&f8vgJ?m?jv3$hq`2&Xj^7U7LPQL1PeR;sr;8xee zhvlTTY~$2b~!J)?6y4Qp#F6D*xOI;v{ZN)?pka2*lqVWB=+p}U`D+UrE{;(Z#%QSuJ3>w zO`lkA8#Q5g{lSxaEm`u`cYe-STwd<454??vyplk!c{6Sv=z zKG^d5fM(LJdpF*#(@P)psq07GJKD?N8T zry|DD$QDlE*}}F~BjD?{U~2Tc@F8NvSd_5`_OMJMM>HMKN|^d3_VeeC*AU7pVJGzI zm>7FSRyZqXQzSZ$*TP_d8Nvb%0&*NuP#7tcI07Zm{bPdPvh?O4a_Bvt!Z&zgn3#s8 zK`IGha+!1_Y#w9{2(?K=J#;{=~AdamacbZT_ z3gj60pG!ViQz_v_h#M~zWY9G-FiWRvv|YUJ^gN`=G8@q2ThU7BHlm2y5!Kh zo(k=SZh7~2P0RMqI;PrmY0kVL!+AU30=3d>#nQ#hp*tc&$5-y}y|G_-eR4;_o)IKy za3aRWB=sxn3Jrz=pF+kS5hh05Um{Z)FB8VST`@@TQ`#be#_?nD}&S`Ic#Nhlnhud~P z;?!(dBG12YEAZn*uGW7EsaZV4Ui_^fV6erA6xdry6x@n`giHI{E*v%FK_jGvLw|#*geJ&v(fj#&8LG-URQec zwejz8=q1qd6Yvwb&%%P+p`f|f>Lx|x8kPh= zbPuHto;j2$=3|PQ8DkfWzsEVuNRCt!vdID$CNly4ExsQmA=#(KBL$V@;SwF!48tfSvUs zvB9eJc~q??n&Jp3j-V)xqRdbeQ!^F#Sc)SsBh=u+r8okPC@1u(6h}aD1bq0~v&Lo- zcd!<42c7CSpBTBQGfVhLEHz@Q$79b0d1;_%GZUKlR=vxc9gEtO!$aPVrbhY`j z%fz?q|Iu>7{mW;GJBUgIOgJ{pt156AGs-b=TLaT4j*sFPbYsCf>YZAc*5QNO&j&uS z9G1u9P#i(Bs#`*|3h`DrqfV@r)oA1Uz$~MF;S!~ctpwS*Wk9E;LC1dX(EiA>52igm z9ee9&w>|@{3rp#5i^L85@U&?#npYH5jNjsF1WB;x{c2Puv~ z1DsZ5xJrP&DglZsMh76TRSO77B)8(XT2s`33`)pF3GfZY5pV);lmA3r3i@I6rzmVe ze~dyl^s5v{pjE51>@)eJf9d%6@qDm4$4`Bpxr1SDOTT(O@Ol>M1)dYVz(L{;5_gdF z0;Cs^YE)WPvM&DF2Kh)YK-@uo;HJ#MVA2baUf|hW3F!q&z`}?-Sd1t~dVz9pH8fA5 zSw2DB!LsH}=DTV5mZwI!gYuS#dag@bdsC@>i&^(12;trBtKqN|qi-8}2#)q>}kWm+a3D>JxFVL@caR7QGmICpSLikUoU;z%8yyz#xjcia81VRMoC#RJTo+ncPu;K5E&@UvA$9&7^| zPS2ISUY+{C<#QK*(#K^b?^7gr5yCGFx9H1SPP%) z=bo(VGd?r8)sJx#zKi%@*k$|OJ%d)hF?{xu>3uVqMplnTw03U&(!iK@7FWK#-RYvJ zL2&+^g-6z|-}b}lqq_~)qxVd|@nfqVZ)@B)HGjJ3`xn0Ly76P?hctF(_s*d`5400y z?|tp(4imGkw%mE5xrOqlTL~xKn+~6MPW;{3z3;xV*YUFqr|d5GW+wR8zcp^?#{pg6 zzBbeTE~}sRL4LP$HYx1Kb;qz-a5j0UP1^u<$ZU7~w(@ z5qHr2?fV|HPw7|x5ZJmTUeWANguVr>CQQT~oX|`x$}!z6>&{C~^o;cmjI}7-dFlNH zp4PedFYz}^q#*v(pkCguK6W>Q)&n@%!UDTBJs~S+Yh3KaF^k;t92Z(;7tGmOXZ|k_ zoo`%S`+YN~mCUjOj%y|3x-X8Zr@DM9qw9jZ+J_m9Js;oFb;|u{N}W2(UegsS+V%Z* zW65fofMQ)psMTfc%qsh5pwba{(99Tpri;MPF$ZXv*bpYEjX2C+v94iz3^#Xt^3|j{ zeeCn^t?9ksCN>0~zL|f#smDW83up!P^WlIilN*ec_3g4Ve`e0yEnQZgNS|uApx)D| z0X`>(1V7j?wNt@)x8=7>RxwsDCgKiq9Ur4HivCUx$-Zc;ml=$)UMxS}B=K?g*_Kl8 z?1;AlHj6i%7%k^7(9y7?UEt%sL!)14)F@#1YTxz0yf=JHe)Ei5Z!~H9)0L~g#jW;E z7KtL`Ji_h|^<3F~^4f-Jj~0AAC^<4~@x!Fewuid4nD@cf%!hV9A1l%_*S1;q*7hck z^}D9U3_SYS!;ZLv74fSNgYEf;K%i90ICVY4cqghlFPIl3Qr9r?S3jibK)_Pt4G{H0ZXt5#%;Dw?c@#~9um=@ zPF(+RiX*5fj^I%3;SN$90dWV3J6NQ6EkU!6wndH({a>$hz%WT@^mCRiGP`M zZP#<0DYCXm7we^#)i~L-_0e(=nN^FX-LJHan`QL@zE~GCYLP>ror1W7U<^uR0kQyDfGkiY7JxW{{2=enod+dC0=khp`D%<<|qEz(*q%?_%@cM05RE^!BO)VmjC92Lbr zFG{s>7!{WTOzWsS@rH~TH-3nfmvqB4v&Hnc*^+6mS?g6(5=&R5nw87LaGo$$Q$-+2 zi*{vkB*|Zqzp7+^m5@tu1PFw!7`V#&2yhY4J$G=$k*?=8YyEDKUf?;=3mhiyAaMtY zJ4oC?;tnRW8W!gK_6pHzSw*58CWntVPlou6I7Z>v7U=~@FTkT2i;sYoKek1B0kOfk zw34G*bPEGmoqungPK}Zh2fdZpU{^yY!Zi;#37V&n<|!;6UW&IGXe10ukL%Y|OD(3u zvewrZ8~keORLa$0(L9AUH&3C6=L@u7`31Y}*qKzJUVylR_{N74S%54+79a~$g#}12 zK-@u^r?B)OGtE=@^l3CW9Gqee$Mlm-%Q(&-3>mlKcz#pUG7b%6*g9^e>nh_ zts!IIb~t3jK#*QQB!d|NF=v9YMuHcT!hC>ok&c@ckOhOYqGXs4(6}uLN@_)FD5)iX zWo}sixp`F+atG8d?qFHEKH?6Vd|e`ZqILoOR>-2D9GPG5hV^5^+cjEx%;J#JU4fA%KhvsJ{)UYU;+EZJHfrG)$*MvQZ%$*A;yO9!o%rtSw1kiLemdJN+bM0*mw`R! zKFSv-d~|W+qtk1MZagV3o-n%a2OzV%{obzD8@Z0*?BP93dzq_&R-nwg_P6_-x zXP;mClMS;z^f`5L-=)kEsyP9huN(}Dnm%0Ob}|3@C+l|KPdU)7*|pFemzxac#2qB=AaMtYJ7|<^;;kV%=ctjtrEg<&=o5~4Mu)GtcIYkQ4xU__ z;u81hakyzk0BRMhAize>2<= zkzQ@#sTZG7FfooAR?EFr2+NS`GBm6iY&8K&@e)^COP(AS3j6rMlQMQ1F)@z(q5Dge zk`z|M?cX21SqRVD{QiuM@aXvMT~ONN139MXoS9X05fFFKSpCtgP6zxPE!KVq;Jy{r zOE{Y>fr`gkV-)c1e)!g0FWH4vR4>FGd^GQ+_z_{v7oCfn`g(&l&Tc0YIyG(6!ry9> z^`u(`cVD&indomdS$p;KI*GQgM4cLY+OQ-4)YLPBgkH}A-d0((zzfJ&p^P<0(uYej zn$^f8@`TqCwJge+ZLNZ#NL$GGx(gFyFI6dwNI)e0G4)IA;m;ijTgzd{d{7K=2X(0N zF?+~SULuh**as8i3>HmPg{np;AVM)(r&62CeeNYF=OVxfhN+ZFR?1y`9Bc706m-F( zL1O9)3O+a7LA$Q;3%;@X`W(d(R1`;Wr1o$JDUN`+gTx&q?x2j~2q=!gtEWuPYQ4Ni z2X<^7AI1I~gDA986~z%y9KkaX!-zXrMwki35yY3la<$dh5gR;fNUA=#WIxG9Zz(RT z_gF1qFBC`6UI;=iKQ@cv2x=~lz|0*?`ce8~+mG9~M!f)W2e}tK^oJ}!79b0d1**^j z5J&J@E#wZa-G9!1<}~k%UA}C#`Ra(@mZs0m7(yH`6l-JR#ohceu3JLJamfazPZVn7 z7<6O7I*wD}co;u^iTn9L<^|ul-X1bP3Wfs6S0VGlZ(Q#Vncp8fD?K6e<6pQQ37H=~ z#q|Wp{8%`yrM&|=3?w~SLHIg;Wmc~~b)DXw(qBsH^CHCS+iQ|o9z~*&-saCB~ zrGYGoxPzGx-Js!O9CQ!~fzc5uutxr>(z$~aNATa`4!-1gLeZ|i<3iF4JSTdAqr@E~ z?jUgoi91MofqyeRUdGrKaR*5+Kzaev3;b<(DRBpjjRXr>uA~=-z zJcVZNVC;_n4IkM16)C<_=k)@_9mF@+l*j^P0kQyDpeigtdI92ikzRnfgKCwQCA~m} z`T)`kXgNiI2J`?DAS5OL1u@aIh4ccb&q9D4>b6iH1y~7AS0#o|)M26K3iT7HtwP-u zX57w0=lEsRYM~wrGad&smca+Zi3z!pwTnAgmadPugQn`L4DR4*ame^par&WH{lpyv zBUFBRChlP7q_38CANs<$@7_w2yx?}~kCn?C-KhQC!L3Ey!2%O^Fz&CogZg6JL9K~9 z_>|)guKey?VkK|~^ULNA`utgnJE$&?JJ^%Bg8))V2$RdCBNf`g--qWR`1hR+~Hp8ff<8lw_G%ACvgY;BQ+{D%c0|p z?67mtZO5KBCdPp$1_JR9;bARG!o!O8Chp)QwUO)DE7mn^kKyKyPrjNor;mO9y*0fT z+{6wOJ_F%1PX6(x9uH0Ju@$U;IN-|U24iJ?yX?%LnKO4wmz5{dr`j#3_jGE2&&eUd z4>nBgRB+yH`E4N&4)!u9eB5GedF-EvX;gk48Eg0eJgDJw6+X8y?jdS5+({)mnM%nY zBUGYg8OJ0eE-*r=)k$E^RjmHzUdaRKUE4+5N$aIpQ4FK1ey+s|MoKg?U6#3IJ1>#QmHXjRKTX_0uuC(M$jxLP z_`o+vX1%}@W&3eWFJ2r)aRe2`5ge;M+(C*XD2p5ut!Cx&uvAt$lGP-H1;s;8Dg~tG zmB{5X36DVu=Am~I8=9A9=~UY}l;Y_$)Z3IfjdZo05wE0l*V~H??lowd@FFHw1HFUT z;8BC;BAj2leofaLiE@|%<8PZo=u;_AhyW8IVZi#NutS!BUfB(Pwz6pEZ zgnzXJ=Jiej4J*#(jeIK|L;)y3&B_Ajisl1H?J02wjauDj(&Z9&khp{L_;6M`5~e{b z87J^p>%<+TI0B=-A7cY3j)3O_Qyc*_2YinIhj9eO^aA7FNNm$YJEbA%1)dYVz<0zQ zB<>(_2Z=jK+(F_FR-zt?<|*tEQ||1iFxj;~4sd~tyT@o9tJH!XT#NFXdX6(i))whv zz0|TASpn8Z^^DlySXv8JEfcN@G+A}ZkwI04nvfe+ySRg8>H13f zG0qX0e9SZXqkrl6O7c@ZO}93b)A^c~@phLE8Mh$3wlC+EBq-+i5y@X_8+ zXS-!PrA_)Wu;<)I`Qn6+?oZ0GIM{>rnf55|@zmRUpP4&2qCDDq^W$dBb2a^;>sx&&lq%ieM?B~xN z59vZm*omK~o3U49g|l)tMWW+)EesZzAuQk^@PCM3VWd#v2$aCCHzxQkOK%Puh-#y! z@C}|ACZ=IxhEAtaCaB?@!ct(y zP%qjVN8CaFsrebGbi^IxZiXV^O;xyTyd@R=HFV6G0I8W+MBG7{GDS<=LE;W_oi=;m z?x|Hupg|+)uY!K$VJL3yN7|U4mKnx7QKgb&f6%%!^aamA#wSfojBA8arh`7JYz!O5 z|A?vcXpN7&UjvKNO!Xt~Aas|p$IY=8AA1S9j`aooYOuT1*tIs74QeV9)klLvVjKl! zqgiDUY;io4;@>+kE;@EJ2YJNL7*(-?+o7PD%IGFV7sMSzks~G)9r43SxQrPiA#odV2aV%#XcL^D4rN$q7tAOK#cZAroVbI;9jt`F z5Q}zY#f6Z+B7bERvY{_R(K*@!wE`$y$ISaE+{Q0g=&vY_;8_Bw`0;$Os^}@C=PZt( z7*T1xPPIdI8c4kY0fF0@XJ%Z5sF_?qGao>7h^J z4#o=x#{0>X>4;*ac?xNs!oNHBt9ZOv|7La5JBy|vx2j2mX|3T7E^Vzibm(^%~`PkzRoG0;CrZkOj$KC4f(= zwf-t0H>P%R2g}m+5qA)p5Z>C59EP_#l!m+x8JiW4mf&xJePA2P*ZA$QSd2U9?)O%Z z*XG{G@ObtX>7qKF9M0P>vhQL0o~^CTe4Ah<4mMKyHx?+HJ2)jFr_e~!nG?Fkjv*+>7O#l50e|%8rGp5~?%Qux13@PCjC-Ys$PR<;DzrphNCUzKlLiyF8 zN2hP4MZ~WDJwCHdJI{TSmuwo+~fKxnbG<4zM!O$zuH zGr@(pgIIasDBfsdL~U}F=Kwex;NIvEXJhNa84ikAcp2r1SVTV}=c3WgO$;}>xi|B^ z@ZI-e>(&bjoW&c)?&)_kHLotZIoQ$6BE!3pFJ#G~bv+f@3*GYW@0ynFopnsL>C&8e zL5A~oz6ENf*NUZ!nL~F(hK{e?-+N=f@cQJA1Pv1t3f&nS6Dz$eGH5Uq_!Kg)kY~jG zB{HRvu*A5R1cI6lgzYyPPTBM$qUfBX#($>1jq#s3;h1N1_?l~n-g1BYzQ^oS`qe)K zwl4Y46#kRV%W&XgDJ5s8>Bv#WBhLvr8frf#Zp$#Y69fE$EJt*kI?EZ4jA~I-gKmGP z{tRER1{x8esC)Ax2ItQ?+_w7>r)I+2lBTi?0FD(kkjfic08nCOWeUqzX*sssL{z-tv^3O1aSw6JBVJJ zA?~0`!S&lXTy~^HBhzJ>!Fuhy1bsbcAT$=0#7?5Q+$boAcS=l5@p92RmD*hHb1y+T z7eQawgy}XHeEzwEBO|B2?wGjQn&Jp5iX-^G_HYL&j-V`Z3_#-L)gmbcZJdYRNo;6d zTIf`5=TM5L)3BWBimUC6I4~EO#$9hOHn`WIX#^VA26_jv!J`JxML559{hF>JUZCct zuOl{i)`V5c;$ykibR7xj+IA^tQuu1SicDlGXT3;luqs_eRZGWG04P}_mnEY5*GXSj zY-n8i464l%;jCJ&%2L2PJv<#py@%M)p(alUC#Xjs2ajbvM(bFmR;E&F@zjVrNZdiL zWng2l*V~E>uBF>))n2$|`Bl4eZZ}nXCKN|d(bV+B9V{JuQ>IU)I0CsG2Q$=mU81w# zRfd3IT6NRd!tc$5Y~~I&Z{m7v+MlC-#K8sP4uTOVkp;*CWC5~3m0AGe2x4m?chLHB z-|hRw+kTE-`sT5D1J~|*;h;E-I9|l@s$`BA#Su^(0mTte9D(T;iw|EEM_^RudA*jX zWh)q?V8n3>jkra5)k3KZqkJ#vPQ*e$elMuKz~T3sle+nOdY5 zI7!?=;tmpbkhp`y9VG4`aR;kKB*w|1${gDwy#VP2NH0(v!zk2X5qFTdgTx(FCF|mg zCey4)RKm+TYf3L5irx0f`N7`zCY~I4eRQhjl~|!(fVhM3!i5r9fGj{3APZE91xPPI z+(8bAgj@xjE2}+Zq$6NLY{9FLaRdXu$Mx=zc>)A>R(e9_p-H$N37Ll~;d-qeem*RJ*u?W$F5eJ7{W3|4=Vbj60}U`TL8%eQ6kvO_R8Tc#&3m zdRFe>2lB;#%6{sX?zl4f%63syu~ z>6(q1^-FqT(>{}1`KE1N{z*h`*RJ1oefgamC+EDOlxa1WC7(^2TQ_j&!sfc{wt-Xp zEshLcoE+0`7~>?fnc-<+f>RN9khp`;32#^$q+)~sGOlK_UuljXaR-e&KCy>!o?vtz zjH6nj(Xx>$jm}8IDPrPlWBDkI#vOpsHv(X z#@5?N6*TSu4{5|5RHcAeg}8&*K#4nOyhialCGH@=H)mE6e3Q6?#2wshd#GEBc^_=e zd}!zMv4XgR=z54dSd1n}+(FUyrUF{Fn>3Y`js%)^0sx|WD0M6XqRn(fQ8SUqjk|$y ze~QHsY^pPI`M4H&nG{D*Q5?ak+QS{BI0E7h$|#P2;s_{?fZ_-!j)39_gj}j>;mQfv zu61gXZztrsI@IK(<6M{taR=jxJ6MrKoVbI;9UP_7jEt|Sk<@e?ftfovU|!omt?SX{ z`1YT;gGDb-Xo)O979b0d1**mZ5Jxb$7IFvAZgGsdcx=Y&HhHUlz4Dpf+JEV-#>5>Y z?jUgoB^h#^c_v1Fp}#~aNntf%@Lh(6HBI5!Q>9S^2$V+=T+Bcyn<7&h7kem^j0-T8 zty-mJdHoc6nx~MW;S)nkFl;2rw2a{-!H{tqs#IW9j9bR0$@91HI~8P{uN%t`fQ+Fj z*tZ=HnGZ|p12fw?up_Ew5kjZh%hU3d?o!DY6^Kj=4)C;zmyJ{ z_hTpo$7S?mIChWAm^(q{{TLpX_hT(#nfGJ7-^1n;44L<1|1gfAm|h_Iao*EKeV4vU zdV%LeFL0W;gTx&qy#VP2NH0Ko0n!VQUV!uhFjP-^fn=S3SahmHqsAE%X`Vueg!3;o zpR*TSvR~8E9Qtiq{3> z{%V$sXOCueI*3TshO^0}7vTIL=>d_`FFX46I?7rdT1yikewkj)|?Ll;GA(1 z)&zbMR$HqP@O4|b`JfO8??H?hi!%10DwIj&h^7M$2vfhre*WC?8bWy`?8I}o8GA)m zI4fsUBsv!9Aq*CnAuQk^@PAwhDU>(@CGb{;34Y7cn}f)q+UO~K!$ZJ{JD8{fLW`{( z8WtsBQWpWirJflP7_DYmkVZIA)Ps zp5sER?1DL4>&*Yhi=b%V z*%yt6p1~LoZTaygiI2O_wv>8jN4yoVS-k1QXgPm@qVU-+@NwUv(JwS=6fk_X@A_Zf z8@?sKdB&|bnza4t%GKZER(mJI)FN>nVfTl6uIxT}ZNs!j3%(wd9GSKFVG?l%jV-G! zSkc^Rvj^^;TBT%_IxV)H^81m8VJ~w((#G_(%rM@GfF{OHjCE(I@H3G4M#H#9C}le6 zqsqpxVf>GnI*-t61Bc2uumy{T*GqkPt|4>p1kC) zw-+1SYtS?TCRPKzgV^9vgXbdTV%4wd8sdRAZu&Z6gJ(@xrN7J+`5@4{y4G|Z3Fq2& z(KBL$V@;Sw0PF();;a{m4OXSkqiWTz3awP7k;@WMhU}!TD>gJPeFoL%Pgu2Fm8F2* zE}o8~-a~BYP?M(vvxRW8)Wc(0kI_0-sfFh|EuLCE$C)B)i*&JGYFYYzz=fnHgayS{ zc4)cv(Q=w<)ix1RGZpw);trx-oxl6p>utpb*V65@YHOt|ze;fg6i2{vqV>$;;{9TN z)mmR)Z1Ah@_R4#aa($|JyjcHc4V@LZbgP;~nDX5;9L!MLRpTuMes3mZGk5UpadyLw zpg)@l;|S_CX4Kr<82UpNAPbNM$O2@6O11#R5xiatxq~MMtr?wl<$=X-d#`2h8rfW% z?Pf9aP57rv0DzVR8de#oR8b471Ldj$)T}J%R#8_g)YeKt9V>?tsF7u1FI3w~Oxu#U zBd9?Mi`rKf_QWlu%N2>*gO&MFFdX=Q6*7*)7p_M_=0{I) zJpnT6elg*CDrDjJqhKA!b1~ufnDKb{d$=8YX1G5LigCY-(f|});W;5B3d`boVaDya z4{qa^@!x{yiy4oD8Oz}BOzbr+(poRg4ywkEA2*uYiQ)*r{JkJ!wP00XlfY`jW#SH! zzv8ZcY!DPjAn;cdNAO?c4sQS1MRV!);84;FJSTdA0^$x5caXS)#2qB=AaMsPQHw-+ zfgUkE%kwJbj%|@%Kx}ZXp|L%o9*ekx#2thw;EIoqm(o=dcaZb~70(m+U)Kwmxr56O zz0~(;=C;%LzLdCwU<68J0kQyDfGkj@79hO<=>@nkbR3_@F?P}m5O)xo0nJmWi8t~E zym&@Y$#s9JR;^K`fdIs!U0M1M(l?==2NU`g6oz2JWz47p!fnJI{2ThFgxn#ui#u4B zu8+8b(5&$}J`pnNpis*8I%Jfpp#%mKFPZBD%e=&m`vPJJ;L{vO&@p?+jV-dUvv@q> z4w@`fxgXEU9h~)bf4`0wLtmXbXZwZy8v>8KGvnT2p4buvr*!=7Roh?gTCilm9gkF| ziRXe9QC7NUV`lx5Uf8tHV1a$!unLTA090&7g0#G~%RH=whoDyJyUeT6bssnpIOLzpXeM zkrU!IY23LRccSB3U0e9$fg#uR(FLj6(0yZ%&t17<+&2x{g>^{t9lT@M#Hh^@i$?Y? z`)2KkIqS2ie&(*M;Crp+dEIE|F>KsE`3Co#9Y^*qj(7QRbmY}ehg-$o9X@?y-$6^S z*c}dfI7iY;dVRq4fc#di?ACOhf5Dm=wdqrvHQR>$+QHBD_j0&{I*vQ|>tAyRdn0#n zd};3Bnz$nF;LQrSgSTJwI{351tMg_deuub&M&2IWWEl|HB3=d)>%ej8V3z`LQV6cW#MBq;63r@;N*4YNyjy)^SrOxkp`1~yl$B+& zNxdb?Bsr^LUh-BYroqqndKo)Z!ZJNFAx1ewlcF`B?|ATxW?GI*F|-bG2L;qh2#QTr zAzv}NIA8INCIUQ=LvMC;jLKNTmbilxnu$e~j`m8)*=d4?nb3Q4LXL*okBQqd%4m3T3~oHl=}G5-{A~$)9>g8wVhe~nNZdi=Bo4$K zB<`TU)h6pnw+imQYUwl4-)ge<>gRP5ZC{BxHTJY&NB*g)X9fvJ3ugS_^Z;s_{?peT;Qe?SG+DDtty z9VG5xDfzz8r`nc-xvg3hqv@VUaRenJE5a#`fZ_}QT@w{5C7&F~b0u9a z>2e{+5-tPOArp5{%4(xnBZsTML@7yOHDMWYU517=O~AuVu`5s>MJO{>BiT?kMW!?^ z_E07n7fiFI@!D2&G!#cbaReN%RSRrZB)3W--b%|+S~Wmul>pH-0lrBzZOMdhHQcg} z*Y2Z=jKdI8c45OJzw+jo|QW|<^ExPqlAYFr*!)n(n5kzi=QGdg_DwL@>YzkT0h_9^}99|BvWH-~+=EE1BZgv+J_nm>p;h&aa_6Lhie zyyQgBSnt4Ci^83k-e2HpoqPWhf0INC;!h3g<^AeocQa`Hd$wRzyo!24R?gPA*ok8n zx#c-7w8}1+v$f9rUmiN&xVrZHW=<=aWd|JBO2&0x992(s`BX;N1$VU%Ga7q7zNPDw z`_Ys-b(X!RD^#@W`|ZY()il9W`xsk5+(F_F5_d2l!1Ciw65Dk14C(zp_Lkhp`RRDYox6lz{w^o-cxSX$u;y%Kq~ z$kk0sQ)j(MY_KYQ9#zZgBJN-Xq&;|R=TC72CY&3^5%8=|-XPdKD2{+f+zNdvaR<%h zUy36rM*QW1Z_4zk;_+hro7H{$GhNF6^*93aJcT*;_NYE<5^@Y*tcw}7$RW^9LEJ&p z+bH@V3y=lK0%UcaR+LQ1?&jMcIlZG0b)Z~28wlrmNxAI@q=f&{E&oWNhL zQyc-s5l|dKX+AK;5kM~upW{&pjG9!`&%F-W4l=JO=C!JQV4JOAJ1nB=O%!Y53pjg? z9z18caXS)#2qB=AaMtYJ6J73j`GL0>N(C7SzDxw^-{~y4~D7? zjg(CY3yQDoM?&kPDXIGyY(4pU0702q%Hh0&8t!k=OoR$3 zsf3)ul`8oy%~L26jWRVnY=!u+hPfKKgTreVcd#s7UnxJvO_*w$3spc)Du3S8f9d%6 zv3RT^oNpBwMEq2lzNTfw3#CK0fsEt%2#&*Ll;pXYmT|okWE|(m@_1aNfMJ!lgp33G zxP3HaEQ{NNA!BpE<$tIbm<_v{;|RuI>8#q7-+d9+%q-GHbvikmw_jx6!xpeTHuG(Q znK;-;>EBr3S-FD;Ukn?)``f6IRzEdg(){V2+XzSvuf((sfx1^IU!z?#+`e7CpxaxwS_+(7;;@7U685`-8cUD+?6ZFee+(sunuYR z!8?XcjM^-*Xk_oQZ`O{Ovp$RJXYR@hzSnA=*Nt`_!^Z8CZ*b4qab)k}c$W`HM_%o8 zxK-@k;nO$v9kld{-Ql2zb0oc_*9Tk=$Zyr^)iqt`U$ACIZTi$^&9-5`cJOokJ^Oyj zAH7#yIk~ntcQC_$|H2<16#9&5H|6q8&XMg99))izCNipF196Ta>U9F9Krh6~J2j#4WdC5#gG#U{{_o7%SE6Ze)N^=s&gO_y$yJBKoB9t;6RD)~`8)gFH*xDhk z5nZ|z;5P6-mza8HL?8#jVQjs%It_H0jQ~HWBjOIaaE1d@Sa=n2F7+dFE*gP+6T^)_ zzBlu}@ZI-e>(&bjoW&c)?&)_kHLq?-ARpe1@J=c@w63Q@d!bw2{aw?ty|a#~HeH%C zFUWA-&bL6V^jfiWF>~mS$k6eX`+INf7ha#-rDlS{;j4GX#)Jzj>k18q0-r+0TP!9< z++QM78u6UO9Sj(LKJIe8$hdBQOfNj$VsPVWPER@y5U?(ETM!NeZjsIA8tYn}u*;@cT11!lUE2cj4y5Updh^GmW*SuWb0H zp<@ml83B}&)J8YbE7mn^kKyKyPrjNor;mO9y*0fT+{9*y9c;YU<{xkB@zB&BTfzE= z1FlSNFjm&L%g+3nIdivkS$QITs@;NmPp1a>oE#GTV8hf-1?SzC-!88;0-{yK*z)g5 zL`5Sv*=SZ-1X~;rrOX$*i;f+w3ssFy zz|R;}v4Y#7pt;xTCQW6fBe7&}c61M=4xTxbDdt}mH8Z}8F#dM&I09|Kkv8mD2Pwr7 zR1`<>L+#-XQXBzs2Z=jaB;6zKpgE+XA{8SqP;=AQ5gR;9Q+=u)uGe2?ihS@7i%ykj z)JW=b)jNm{9yM_`CQY=Ekwx4=ja-(9)#9YDD>gK)!D=Z!&$gr9Lu}|!lcz)6!H)iB zfEsZJi91-)tw!imi92Y%Y$%R^;s|Pe9D!LcAi5bE*EcrlH5{QK?x5+-34M?S$O2>m zvOtwt0OAM|Y9V*ZjqBYZ^Lh<@xatX+*L~u8BxHU77}paZ^V%I;Pc891#SuugYKv3YL3YU%b5t$F@i>Kzad~P`iv)Lfk>&l&7Q@AiY3Ew;G{OCA|RY1^%v2 zrFjbFatK1IS?=JF$8E-G9$npm?;Lo&0C5NL%?Ty409k-6Ko+P93y@v_2wN<$wvuT4 zjf;_Q53LO2!ZH27AAjpLEz(*q%?={&pjxG6`C(J6dgOIQ!za({N-`~Dn0_#193R8x z0a3KvGD=zaaWni*1sR83vHSqY*rc#;I~+1UoZAbQ`EfSJ%5#uJEC@R2g}m+5qA*$75chF$h;qW9hS@TV|`#d;!k-028**X^Yaw` z&?NHX1G~>H$K%;sXv^XbYT+wN|J(xQaR>j8z4L%;>U#fwSeK=O;6k)42Z~a0AYwro z4sanuX@z147YK$VBmqIKnkYCB2iDq()~$6_TCJmMrFGEODq085s#R&7#jUli|K~|^ zLzq8X74ZLkj;}-RIJvn#=iYP9z3211pBd3vS{%%LUk$Tm-R!H!UHw`&X&Sja|Jq^? zQ{!7Zx^Rf6P&k3(vp?JOw$IrWL+{unG7k26YeJ0_&g14iN-1>;p4Pro(zexK1m*bn zeDCx2hu2TdA1@ZlWmoxMOkEaKiwhdsAC&F3&l5R)J8;6jzuR87Jmufaw|k=v@i)A`T|6c>bkKl! z|G}1r8&2rmR-BtYaNphCeyu)JwpaZ8WUL{ZZuiGTW#MD?^S#e<$K5d-;@WGYgR6K- zNITP+2V|jM{qn!w{jqA)<93S`OZ;C&-Q{|z|ZOcctSH<*BioKAsW~)VP ziB;Cz?uD~m1Coj(4$AWu{rY3{{-y6l%uO>F2@KZyc3m){n{4KSC!ZC}u}Ju5rS+hx z2ba$o{8-TQ*Q;NoEU}(7swC6G{`SO6UD6_zg}{|Tcs9hSvb zpD8$yFODPbpvH=}$&xr>e1?CjLLm{${R9G5E@$jr{Us6+1kCQ_SaCsvO9V8P+go}V z#>RToUI=-XD2W5UVpw^;;&=zmC9keLOTgIx_eNd9jJSiyMSJDQwS=q!Ei^1t(@V_v zJL+#SE^>QxlM_QbdmE1SojI?uK5=c&hfU{n(l+eaI2|ku8d*61NS8iE*3Qu(tH(Ci zZ^_h`kKP|8la;UeIhD2M=ZHJFYM7!lx!a)czpQAu$y&4}K5#PT9Jf#Y0#v&5@J&uN z%vf^7Kp_4B6pCq619(pNBiQB=caXS)ntPWqCGKGS-0zko8a)eMr<6W&y0_hC)XwXX z)(_95bXfLQs)e(*L7WQq8r1n@$)tO;0>6xYmc4RU%DglC7Q8*Y#D(X1^Y+lBO(&17 zy0~di_q*=z3|M`*N#3qogP*NPY~HtdxGMb1P7iKAA93n;vEv|9_a2AOUAp?~Pme!o z8#Ow>W$F3IneR61*tp557~&2NmL$k^bUWe>>Wy&A2E{u2)KBi9sb@=<0}J=rksm=# zegv264|kCK2#7mK+(F_FaumO)GE>wf?j%2gDiz}Tnpg58AU}eCt9fl?HJ4{%kYcP9 z7}nz4zsXT0W2~~OOk#wWdHgtlB*p}IM`xxGN`3@&=SQH^3;g!WlP3HwHs1Pv z1dUoVQq5~f`b!od3y=lK0%U<#YXR^h;MYU$;GCaSD}LyG;n!y8ei?Q2yWE9~!Wt|m z?jUgoi91N#L3IO2egx!4Kz;-=mJJfeOMGL(B&jk1i?1Og(RFuy?jZRQKx@SLPvNtBU&!ba@DhFm z<+y{PXIPh24=>&$y+Bphs`grB>XBaHSKcm#_- z>ODJTQ3!@*kM2dQ7H2;{9dYZZZ}4!F(n`E8@Ia8VG6nb;gs}>RP@EvwIC2HXMw5%>wL zEFe{+NR_c#&xQUHS+a*7sU%38CKV`<$#=KB_^pXu9$0o%A6Y^7$p~dl2J874ZhnfPJ7NW@}RpwK`78CzFLY!a+?a_pA| z!Z1Hsf?NZx1Bf641T8!bOvD}3+QCZ7m&w@>iA)i~mkAXaWlX*d5TbJZ2h&hj40(!W zg$_cGf(xM)jqTE7^@0UH#4KYP+$vW z?2a)p#zXi*vF0{mnpY_9u00U;`C(;0!VAkfs-**V)ZqFiAF~e&T>snQ>CI=~x63V7 zuDj^f0o!u;jym)7&sTpLPeDHscMx%oSz72~!%yO4?IT>hA`D6kE`OY7Z<2HWGS@{S zNB&B)M)^WcUS%}wYB}zTZ*1J%V#ubK-|HyCd*X&4nU2~8UmKR3w;lF z=Y(a=eN8uk$}3 z2R1Uq9jwwls#f&s`hHrLN+V;Hd2LJhwZeJz>Dp2HsdQo*e!v;Gd8u z<|V)ywO6mWy&^vXxf-x2uhD^u(}Ch(=?(NFD90U~=sfY-5_at<(hIyHdV#CN9VG4` zaR-SzD3D3yl6b`n1{Wi}0OyMS20!3#gSOIR8rALAioWcBeRn)pj+c z7tmoCtJh$WUZC#u0y^$s>ZOn(^W@LI($@19I?(hDHoV*q6IqQ)^V&heq!H741!D2b?VB-yw*8Z_}od>py76vK220bk= zSS+>ZX9n0F(?zCznMl}3>18ZX9d|IRR7294@2?^03^RT9-PYM>0tPt!WAwv!`&*1; z{e&D+39*&OXMeWmZJ)C%hTgGDWE|}C)`S`ip(xvGu#b|MlOpF?-tEi3r*+P8)(5y&d^z|3_4}x zzs#u3{y7UnH{F@I{J+gzdP?XvzClY1n;+)w4L|3$v}xMDjK25Uf8uz(n_ct=KZrIr&)Qpb za9Nbir|BUjJ&&}HxcmOBF9(fSx!U}Q_rv-80fOI${q9lNzPbPxyP_#%rh62mk*0#18EmetfF4>4B#O3iltE{QBUD+qiBsuHF<+RwV=) zoGP@PFfHx<`^{E=G^NL=6XI`1JU)FZX<)>}LPNv=v*&4qTVg;0Keg&MC9j zM_QctDJ=7tk)LJKO^3~e%et*2f$4&1I%EM>&x;Ym zm$<1&b0{ldg=uWuK)yIm#LAeqIx32&Uw8W9(+(Z-&NepQJmJ9Ln~C`iD+2kjH$s9h|L~^%$?{)(^Y8D=&UDQ-{Gk|yWT%|ow$Q|^$haEzg$u>S^1j!Qdw*2>-`InR~v;y`u;tu^mLn%t+TD4^&ZZ3OZWtp zGx3~^#jFpVlh+Xx`fLLlWl;R$1 zb=X-Gf7sI*q@(!oi;1z~4y_F`pd$+|foEv$`wVdhF+Ky2X;~5QR_1)6A(s%W?ZU2v^)1@tk)jEeJiUM zZO90$H6|JM{s?Kd zJ&`Wrnu~NeFLSS_gGPn5YT44`{dJu_fArD&+Y4P%Z;f~8a^+gdpONca<9WQ0NV|ah zqwLrAnYN)t(&M~uN5qF@EPEKY&Frvmn@<++NPB4RwlO&=Z9~UZ)Au+$Rqo3UAAa;H zaR+N2M;-y&>&B799mGMRx`o3#X{@7W7!Qj)rm;YhtR4xf5sJuq)|K0-U(Tj7rdwC= zDHPNV9p6aqpvUoHUv>^T5>0*tHTe--t3TX9@*^PbAaMtYJNPfrY2-&xt+;crP@IA? zU>hZ4tg@<%VS*Pyr>Sl&hlv7tW)x zl4qG$lU*@*_y=u8+7SKZ0tz8uBA3YelMUQ^}8@?)(UJ+`%E=9Q|R!vEQ%a42>}( zmDnAH%ZWJNvX{&UxYv zayl5Co95;qaTzm)fy8aiw4XRXigVDd_11Bos*QFXKGffHgHJ4n6Uq>J zK}Hf1?#J~$kh$N+!AgI~-24}=hd}0LPjNj4GB+2F>xmWikA-!d&&7oMG2`*@_i#J@ zzwrAo?W+D=jCzUZiRXm&z_NH=m~lIP2e)y{_xavA{3 zSRS|IZ)3ILGWijJZ&MuPcpjgZ$`b*}FAls0j)h%iuTo za8@z!y;$uQ;ttkIdli#Iegvs90sFth9aQw*(nRPm@I2`S-VnV&32_IBJ4oC?;tmpb z@D)wblU@KPgGn#YFT7e6Qnhni#2qBP0O$rmfeA9rNeLs8;-mvcT)G0OE$Ic~ z>lb&hDqSCO2cZqc=lEF2sDnaX)qmv<{`&E}j-SRkAHw5V7|5&Q4$5H{rT=b$>bQe5 zqIKj!Uk$T`{e0->otMqM?vwwu!@7C0PVapeu#IE3yjJp{BeX6H*B_o1F(}9M>kEaK ziwhdsAC$dgpC@wqcHo44fA_db}dur^-W_+m?@PuNu}nDfU9jnynVGC01Ga-3w>C1|$_n z9F*rR`t`@?{Y&4An44xU5*V!Y?Ydw@H`&YuPd+P{W05d%rS+ky2ba$o{8-TA*Q;No zEU}(7swC6G{`SO6UD6_zg}7fxgiJ}cOiz9n~XX?~K`wTwSwA}{Onv4}gU;qAd|O$G$E$Zo;J z*z2)aKqM-Vh{dcx5fcE+r7>ofYM>u{sx{F>ND&iG!Ooy4L-?reoeoDwH(2%{9p_*P|zTZ)Qi*b?Lqnn%<+S%K1wC~J$ zjq%7RsYcl}aR=SZZ+d?Y;A8^>9M+)6fjC!YV{RP2v`N0z5~Iws^LI2@^yp#Z>m?gb zI$N)0Rvoh1!2h7nvd~77tHr54d3WUxQ(N0Vy`|`xvwTK_2CIfCN|U<{`u@v`)ifEM z3m~CDoiXRQeJ?_#I}hJPxjQDtk|PEJ@eko)ZE66|>3#&;T;dMi-225hQ|AX;6y94u zFz=@RT~K)3$?l={3TO|u`gG{EY0V}G2fb5JI5%tI_IK8vNSSG#*Xa3754ThA^?k5; zX3w)1nykKEv5K*RFrhmMW5!|sh&!k@3XezJ!N;GpjT#-`vh;l9%y*l0Y~18jOi!nd zZQPBvnoPZQ_HHjjw<+#M)8r*P8^oG*4K1E@Aks^?c9fp4M13?_!SgTx(#>%f`EYofj&)PJxS)9D44 z_7Y@#$1W`-KY|)w%`(5$AMPOe5fFEfxP#Rye#@9x&6JkLD!V$Ql?`PT?gJGHDU#fp zRs-m&HIf@AP-~)WV63vQ3#$y_NQv$NVTpX1+AOwpT}S$iEaDClcaUEtGOT*dEBO(S z9|8Fhl-EM*x2a~;bT_Y;p&FJUhd0~qBP))ROqGVlRgE5N*`dh_01ygU_Iat{`}X(qa971)iY0=9|3U(%U+_;5?O#OKo%eiyjlx@ zA3;Jr^^py}<9p9VG4`aR-SzNZdi<4!*)ziNqZw?qHb;t0sV2 zV3{V1;uPY%v|3IAqA&}knX$^Yw&!1~(qWlbBTuW9NompxR4FwLECBYU%2@aw=>^IVl2TSA3P@xHV_4a1 zs!-w%5_hnc^a6?Xi#u4AuCG!%rWP(~HAyd|UwX-g57`i0LVhYTv^a@fC+#v;R|;f| zlZsqG#Kz$=O7hUxAD1!rgv`ZT#p81ER@=ccf&lP1Tzpo1cJB+Bi?RKTWz6BTBv;NYV#!U`%=I#4oYyPJxAGO+T|67vCUwT6pS-LaZtjm!#P2P?9{>jQq zyKIdV+0IU0v&6SMy!YXVpVo>qBz|N6x$AtF%XF3~`;dK6O3;LM>n;U< z+$Nz#`0CIb&b`JjHo12C^r)WR*W51L_A-oczBtt(>^+ldfqhJ#&f5~u^s56$1Eqfy zFI(r-=}O97FV)$b9nJ-{;cq&(_QN|nE`_c*apn1zem|!l%)? zUFPuPpTBYF7QXo67FaJfZUI(2#M_nC!W6hz7 zA6Y%@pihb+?jUgo<>h&N#&(*<9oq@UO3IhX*$|0L5yF=V6&Ynrz6>bF^&d<_T`_!n zURLNJ^eEUMW6~=W2BOolLf)i0J^<*VKmC!Ibm6IU(-#X^2~xGPj)uu zO_gfyuK^LZ0S8pX9fS&k1SC1ls~fBrCKj0gF^vI}7%Sn*4F#NwuH5JPKx3P7<$%J(#_0-{LO~nN z@qk2KuApYLr7PLZQ6H*Yt|Sh)bmhiExyIZP%by~Sxpd`r>X)<8V{8X#0Nc8PPobdh z6!pp4WiW1CS#Kvju(p-nL6O3(D>V^H=^9}h1)HumHhu#4S-;>OD5(4CCIWmfiRK1C zbU(3zl?lZOx?|SF9qeN|IdAfSe-@J;K}~)H*Xs{=ko*X$BFEGkzYXMqc1mkwl}ly0 zV6B})C7w=;YGi}8c1E0%vboa2Sk=4^O{1rACGMa*#--OXn20-At+;crP@IBnNa79> zcaXS)nyA|3MIphOlR3y=lK0#wx>o zn7Fw*kbCK>8n=Xu zbwqIr$4X>lqRQVpb*&fBaR-BXHrVSEHDH{+UVxD{YK`wgDUk)p0%QTQ09oKwTY&Te zFayTI99bO9f{A%)Fb6gU*5lz*2D4`#<+f^O+!A09&A;J=h=Y~>kh#fRTn~ZFP3q!$ z3}nuC9@i5q>>ms3IM0R&_hZK6)yn)E=>^oW3n@@phoWCj7S}Nu)7AR)8jK~8z#J0 z#*PkOe|_xvx|>B=U*6%!N}+HT$7g@G=WU;}D~8^&OJp4E^VWnKDV)d6dz4b@6g;hc zr=)GGzX;0l@%i58?GLY?nm=AFl*_L2znZ$Rq1VbKE{e=9UNhVcibgJr5APPuSPM<( z*c$-(39H{8nwYQsJFfWoK$pAEPHZa4ocrb3v8+!_QetATbcOSBU-LYd8AYpyclUIC zzUJA87Awy83^ugSxjDPf%CEMSM&C;;7bc}b`x{w5I0$SP2KQ?@{i&Ic`%k9?ryX|sw{$$?xhdhV zW1{6VPG2fq)nj!*W?uRPY0<9Pla<@QOJ1~P^M1n{h9f@sVP($w*pposi2wQOXmD>{ z;77CX`0P4UkWzGT%KqcQGRt_k@Q?PIDhzF#9~*q&{I(N*=TO@q<3M4;?w|v8zGD zpC9Gu^Rb9KNZdi<4)PdFz}W!zMonNWBO)3&YUI?=rtp-bvo2|vS5pEt?c1dMuRHzl zX@?GZXB!)Do^W9B&BXkM*uLQa2QyFG9Cd<4{^3piljXno=HK6!o#~o!OtSUz{7<}9 z7tA}Im5LqLtX#$%zQa>_cD;Y_I&lY4-Hz(@Js&om(@ASYq$wRN3>sND|45fUMb^&I zA*;tW*Kf&Sf4QV&vhp?crLxx4*ZUVDuQm#a^!FG8jTW4E8>ph(7k5F-)Gx3~< zbm@-B{rrUm+F$Jen|s_#JTzxSZo8$?1;iahTBR28ui;#t2VN!j)`GE=v2t}B0>JwN z6pCq61?y7#ZFde1C`Y1T7pQLZTfoFvaYxZ717a!S4z>p?d^+^nv}O~8gWf49oSU_9 z`#Wn-q|7wWYxI1khuf+5`aak^v*+0hO;+Eo?%n{3ACEEP;xq7=metphriKrJi!i=- z!X}Mr?kAOsgaW=oC=qkV@aM}}#wt#O3k(v=6@0M(DQt{O^@n!nzKr$S1I~$i6Gq%Y zJM;Lt-z`ZrdKSD+DShO0Z@bN?o!29+AD&6+u3(xcB?V(AVP99rzanqjecirC^u=;S5yj`~jKU2 zM^KX=!Oi-^9V9;j;tmSQkAVCL$d7>h2wtINK3Oi15OrC!dS*A=R(FQ zsvmI~#qH^ku`F)y3z_4m z{zpFoJgkl{;9nr_x&G0o4x|@&L-Yc-h&xE!LDCD5UV!uhq!%E)0C5K;qHr0@28rV( zzA?2kJx+Ro@~|+{3v|~HiA#C`(hHDYAXX$~En7n`fgqh0rF&sp+SDh#)9} zsDemEl|zg{i8?MIaZ)uCwf}SNRZNbkesKq@()AH{5ZW^A8vmnSAQ!%>^CS2)Y*+J6 z-*b` zUMq6Y5o9R~*B_o1VVPt4^@YOA#RU!R56X7i=ZT!Y9XMg%-)*m3p7L+z+udqI{0;AK z7mtYz9W)@`f3Vx(h7)?X73Zc8+;?}kU#riQ?G-;i8EeR<+x;<7S@@X!eDAZ|ad*sy zxc1s;?<$@W(#~||HCd=vzx=Ovf2bJNU4u?A~>WeY}hlg(W4m1J7j-=27>OIoC|@b~mCK?!{~FWS+kxWBT% zZAa@Pw=MirdvEEyAkO80(dMwdMfv=YA3OFnzZ|28K9M!}tYBUGmfXRm`AJq+GyaT; zysS?oBJLn@2Z=jK+(C`J9J_|FtfLxSpR%K-EuVbMJ}hwkZ-=KhpMBphw^+IEqF0BC zctsQgVn)=WZW-70Q$kjO_Q;`{BhU9c>TfYFa(i@>6GJswkypV z$S|PLslF3 zAM{xk+DLM>IMpZbuKZzYYx}3S6g_j6&uGwK)i6bAa<@U>e_64bCIdYX6TZJ-%sGvz z`~|3V#2u`B;a9Y-+O*m~D$gvq2_`!m^QKBQcfo)N+kgYAn|r_bX6pQ4i^6;B2j<<> zzY7YFJJ~(dUIFdlD8wBE7Y?zty;G9cz<1|&mVpC{`Nwb)LY{nx?H(d@@M2a*LVmm5@{E3f0X^& zKGQa|NP3+2?TGl0jAakwwwWFFZS%?E9cd5E-8LpCrETcAYWg0Br^@l4q<<(U|y7%K&a?}TL}$Lfpv!_+Yb5WN=xGx_qUV3sfL9LN_7Sy5CVD}M>sa+9Og zT&l146473!fn{whaR>3fB|if4Bly3C^V-&(!AFUvwcWaNw=OFcNive*0UtXW6J=9l zRp+`om1^5m4;lLeS?Ralu~;|?bR!8ugH(!e~mkMTe3wKYxu;R^a5{)Uf>RK2Z=jK+(8}1h4cbC zQ@jY!hV%l}&TWxifZ`NVoWlQm;*<0OQ58BJoce^BMv7CYlRXU>p9DCVUBiExaXP$=Qac*#7Ea$BXaO~OlnzkJxI zS(8F(8ITNx@F|BS{3`*vA;l@wz~bt8oI-K^;tp1&>#Nj`so4rzlEMqcO?}CR?+uSr zSdKgB=Vt!7V)D6O#2tKXL-rTv4$kl1?Ud6;mV0cLU9(my%pYtJZ~Kd5w$uuDFjdDL z>|7;xuu*yLpk;O3LHlaCg9lzAcd%L5xPz1QxP$)09W>OUV;H2CJ_pVW2LWeV z#HK0~5^;=FB2xrN#0XM}2@nYdV;EakNo*3Vc5>{O2EJ*2vIIGC2Z=jK+(F_F@_0ED z&v{6f?ugvaUs#~!ymf%4rN_O*Lvu#twp$uqaB4$>P2}T^`<=EOk0>gB+Bw5b6&~jj z>DQ+9F9XGAYQoJ;X`i)BgEh<2LKho;5+7?H;p!D(P+D;L<2-wlocou#E)v5Q5O7~0+{7V#F+YKoslPu2_ACJs z8TWn0RR8F>_bw>vIaFC#)?7_(y>h-CaR=4!Y23LNHCV4bfcsWfFWOf(SZho&?EMk; z>gpx4^flEBaR(oN(l%;zfXmYJku%?I*0FJuQ!zcAI<|2)+G;ZO*4ewg4Be)<8%>j! z>}(Kg)-|+v!fDms!s3}{M(DSC2EgDnXajWy2`iK_Q9sebEm?sgXlB$Gc6WiS8?;#n zI3%E)xPyuizD%gd(6xNs9QDYEjloi}5`Rg$dVq^c1zowZP_8jp6%$jwT$n;4)s@@n zs8`OW@^D#K@F^6u!J|Q9n(7sVw-f@+&{|j4+lj|(swoOJu2(jU702mHO@vaqo83mi zrYrD&f}i1%as~H5LETR`5hSvLF<5dSo8C{XU}f-@S9eUFGmqCq`^$KuxEQhfiwh%N z?@jT1bk4_N(D7vQBdE!b;BNil4*o%Y1ms6Regr!4d<98o854`RgZe?GIiL;s5tLIi zqPUaOypkUQ`4NyG0r?U9>!9Pr9jvxZB|id>sPa@<;tpycyW~fpX=%%kU%6pgo%<1V zY87bzLFAo>s24B>+@PhGc`|Va@y!V(vH)3tEI<}`9TosTf|Pp59XvH}@7KHjW*i*X z-v382&#yFe%!+2j@gk1bE9Q6&$PO`43NpRPj{u{kar0o<&OC<{2A>!r9;dtGw95wY z*%vZyLtO~Wim8|J(oEMb<30&wlt5tlp^$N6700&kL&ga)+&%y@mc{M(+sF{aWe@E- z9^Vx*9*5%#a_2~X1m!#i;=$nLM}QYhFUZ^lhU;p4Pro(zexK z1m*bneDCx2hu2TdA1@ZlWmoxMO8|`mo{oo+5T^QW2<@Bd!KJGuA5}bC}>EF`vjOV6=zmAEP&p3Ul za8-}h1(|v26Qo7EW=~db|1Npamd*POZy1jF;D?nt=VMQHT_FDFtE0iad4V6zzT>m& zOhHP~!72NX2g@wu-NHZGYpO7`ZGLR2YYzTc{k;9l27D;-?i9wZLy;F#MZS1~U~Fe!P=?#B=M+&*;Vu*a?j4S#-=qp$p$ zY-7MvLS6VlMgdX{%CFI|y9-zr2Pp8tV~kNG##lh;Du@jm0-Al$_0$k|Rt#U_`V+MJMgS#>pahPyFzJM(0DO4qwh=T2>GMyO(*c zYP7)TjrA1#mNHg@y9Nb6HqdRV|F*mCN3iMd0-XxiurpTNQS_DmSc?1z+JhB79eQnA zvkAgM?-Ufy&04tqowX-YW}4?UdOp*`?bLgHA8el4^X!Ett8eS~^6)KP0#!bbG2>+X zFHpmWV9E~%-s(QTxt~-D9(jBPu+_L@`12utyj7fr+z=#|EBImo_UepF4b{(m8S9n& z2v$ws5&BImUUv_$M^ZAHVzl$9QnY#BleD2cKUw=?)#?EN}xGnh+XkKX1U$OvMfGj{3c+D07KLS}j^doR>*0r5Up7(&JOYU~- zeb?*RqBzg9lfV>w5H!c}M4+LStC`vg;Atm=V2=grHuAak8QlV)Y>S{2vbI_H7R!r= z?`yWjsgEE9^{pJXv+zyaLVRx?uRB2Ia>$QB7NyY( zI1Y=Ivo%asfx6V7TUGHTsJ$Y;r&sLv6q7@K1gSCsE9c}|S~jazngwdG1OQ%DY{yV^ z%K1Ze(k`QXCN7kIVT(wS#5OT_qisu`Fu+`$9%p z@k{s-;9+&#!7o=#-srs9bUEn-YG{I)dZZV)PuxM`4ia~exPt`7n+!pBtsuZf#if&;jt8gEvP)IT6xuw#`SY=*U0t#T8<4IU3 z&5TvHwS5vpgxa3KJs>QRFH@Vtycz*vt<;Ntn@V~C(hL0CHkI@Ob*C55y%#uo;FBJ= zvTpar_Y53&kdZcOjqeU9kp;*CWC5}OS>RP$fb;^S7tl?J;G_!a1>z;XF<}y%mWm1R zj*5WEVtG_B%NKVJ*|M6a&D25G^f)&`YHff&n;;Zx7W;|gGz+8`c)1ECy+9hYqB6DiN}<+qi9x?5 zS5LlThs90Sa?7|5*a!6_YcuU9PT=CiEI#qz%SE(ISH$>Vt=7rj$mu&dnaJ@h-T-7>1f*WHFgg5_ZavpI9!78sO{Y&oP z&WpPePflMuFYT8}F|!JN$IR@SGM~7E#2ti-lemNE=^M%lSYa9)H;^xm6R|R;t*az9 zi4`b1Icfx$`epj1v0{avEJ5BuO%sGc!9-}iZM8Q#aR+&BZ|Rw%v0m>9Q%i{w|8=JyKJCyU z?`&h^%@Ym`zL}Wc5ZgB#kYVO&2~nuL;~(DCKUw~ZZ~py#*_o~x$0S=X&;P_*b-}#T zS*h4@&B|rW;X6E)XV?1&um4z{7zRfIv>PTI2r{Nxsb6(5p|?OGZWPEE58(^NnmF%F zbImms!-;y4AcvYk)Ch)^{Rl5C>*#9=5uT7$pgnS^#(?wvj`~}Si`*XFhA`D6kE`OY7Z<2HWvi{jzY1SzJiJSRN@6Q38Y+!)Hn*NZ*xiTAbKX?dM&f+kktnM2Yr@>Hj-Q|PW8#VD}R{U+WzS+MbDh& zGa58lHB3>O+-=bJUsgQT$=XW{6_~^wgc~AjuJ%&xsJV6~AF~e&T>snQ>CI=~x63V7 zuDj^fq2j%+f8Xnt!Jy@m$}il4f!h7on=H1l4 z3kr`r**(-=0qx;f#2p0VDPMKHO!LdUq^XxVjHX@;H*V#-HFw*c(CFOg=^opRx1LBB zaW^OmpUu6V4jL8Ks%1-$_t$m${Lx46Z!dI7y*1vU%av;-e@3ozjR#bJq+P)MQTA*5 zOxw^R>2cn-BjQ6cmOYHyW_H-O%_oa@q&+lu+nAh`wxJ_&2N?_C?nxzL808@8uLgeg z5wN|EUu~*=T4os6Scyc0ox4eIxcSaN)^&rn<)F-(AJO!RW+vGEeG4p3-AIbKgD?Ws zp%I@t>Y)*v#-=J15^)R?8Nu!XiCC;(*4v54YYOJX#8~N-4P(V|x+6}6Qrvq7#zw)W zt09m03F9yQg0F}>IHDV~Yg6<61IUk{CO?7)^@lr1egrD=BOpHlop`={{8v3DRx_og zvC6KpsN2BT!!%39kMixRiL$A&s&iePO0{h&`4QBeAAwFU;5X%qPa1W3_@%xdL8I1; zRP+9V{*ndA0%QTQ09oMGS^)eA6!nlhxYX*=H^xSv93Ak>=3&XXYn$};Y_Od;Uc~Ww z#T+l<4ib0p6*z{F9|8Fhzzn}S9wy4-(9Zz_YT}eXN}15#0kurnexp_Zy|3g3%ntEfrrE$ zB)tIX1xPPI+`)KO#zM?*M?bloO^y`_S$UKW!u5hUMtw#WaR-Sz$Vn@YPXPHpqBIksr8FHSe34ixPvfI!{_)|$f#XFX~?^fQToB5B{)q(Fl^%_Be006 z9CwgCDt;$^-tQmc@hl9|cnx}5Ua(kd(a#L9J*JCH`!bQRkoxkOn$4|x{DhMJo&pmGzuE- zxp>V_T|?G4yVz>p_p7f+jE;>z)4Nr{z@lv#KSsaj)Bf(9*ud?}#=D*~J>bx`nTzai zySHs~+dUe-bc}b`x{w5I0$SP2KQ?@{i&Ic z`%k9?ryX|sw{$$?xhdhVW1{6VPG2fq)nj!*W?uRPX%TS;q4J44sLvE6?x4CCz@~|@ zO_sz7<1_r#gd4e^07C7`8GBcMfC>T8ypv60;H0lcmB<>(yMv#a*NZdib zn4iGP)X1wLa7ioyX)!mPWK6XoyLEf-!iW>K$C!var~uKDnYu+e1j23{c;j;$)7(!g z6$u4=1#l_27!Uq@Im=kZvGM#=ks?SeSMWjm1ZHJisz9AeSs5PMo%?bNIBE}QzRT)G z8!`gxg-M3JKf+#Jy<}=fnZzBO3Z@y<`DDqYd$R(+jDD8Aa#zZ{Gy4|2J-oz)=Xvw? z(49u3Lkjtw?O%w|TfK{L4-cZayDz>UXi@AXE1qhtFNQ z`s+`RKWQ5^I>2S=`N)~?HtX29$*Gv0P95908*Me2dh6`nUWRT{+>NHmOLjJhHR~E$ zJmIuzZ(;GwGb8j7rUr147_@;CgM<~zn5aqm)iH9rKoL~^VgpY#DS!)+*l}wgkDTc`Ep?p0Y+DDr=wmu8$Co|Kn0fIo6j9Q z>U}QnqS5&i)h?+jv)lz9>tTL}lgc=~_ER<%(D%;vV37zYd zdq7wsUnWJ|pLt!@3;7XLi?}83U|B0tZJSDd1a;>}pyLh}c(;4Y((}iKs24B>+@PhG zc`|Va@x>7(vH)3tEI<}`9TosTg0yczPVJs0crv}0Gv5--Jf(h3#qc|M(D7gJKa|g+f zAXNq;%urSXARMC6RB6Ri+StWhbwO>s@!Y`=zWBvw?S$ehq!)NY^a78GJ4oC?(hF2K zvnS(^6^avZ&V=F=y6a8yQJlhRCvmu#TErbB?%=;=WD$3exP!zUB<`TLbEz@{Y*>a| z!6v(-jDqw6q!);)=_28VY50~$YW_}G1~^HG!euNQB#xK(#)L`m?L^Bi+Zl~K6ax=_o7vcv!9=ixOLPwc(_SvC0-Xye`)>MId^ug z^Ex+MR`ax(+Bw=UE^e?;t_XrBq9C<4z@JSJiZzS<#BrL1K%rbJk+T)&*}|Z~!SHG5 z9v`P&#%a;MkZ~LGw4AidC}m04F5^B4WSn-z@Q#VA~Hs*!eqnB*>Dz;;9Se(Lg+`;XaN*0gUbu1CvMdA)( zOaIE#zv2!STb9iGP-J-b={;$#W2@}u&2|(YA?_e?2h~?4aR-SzXoFxWM1*>`(u`*D z${_CG^&j;~PU`VLMsS9NuihC`t<HWkCRt92PogsP7JYEy6 zA>jjGo9gre+wF2jWoKpWAU}ed{0N@ZAMPOe5fFEfxP#Ra!pM)HYAG})P-~)WV63vQ z%*KMQx7_TO_Br|$g6*o}W7#S#ja7DaaW;D5PVyr_e-q*k5_hnyRjoj8K0$=V?&-1E*4M7;p#M?l;`d~-sHEI<|@3y=j~hXufoAiW-P2mf4D z>T9}b_=H1;K3rto{*Kl5>7_d-!T+BRg624goh^j8*$VipP{-Vkg}(`~CRXP(5HXK| zEdq$jErJplo12AivAlTrzGhpT`Up}eDTnPWd=s~bdE9s7VUG-=d3%)GDurzl_$3(} zCz+>Nlft)U>T|(Z-xVW%6UQqCYOUUKyoftU+`*Ui2qr%QX!XdC0A~2fk3h`_CO-nW z6!1Ad7Bc!Ypg+{RkgM{C3Wn|a{!p-5PA~BFz}8#D1MWqVUf>PU3p^$6AaMtYJ4oC? zic|P9VfjceP(fEhdI8c4kX}F}WaUvhWmD7(8ie!$`ZK{4r?6VYEpZ2lJNRaB2e0zX zXSy$1F;rhKK-@uidqRmUKo%eikOf|g1xPPIdI23E4k2^hAtNLX6HavWg3N*9aJ>&? z&h-@sEBzsJ@Hbo!fy{xQaGmr5v4E^jV`c6Fxl|@eBJQB>aw6_vIW-dLn{Yz4HDqjG zaQ+?Jl{VUS_)tSI-QW|;;r{=*_9`YPqkeG*tJ3w6UH~pl?E3zrUZ5OzFerk3>V9Tm zOFSNN2f+xhKK(21;Mj%txA^Z`%1;}AKd}X*~M1#zF&PsVsvc$ncl4m1{Q70_%ZrDpZ0g>#0G9(Hs1A| z=>dnf&0J)E+r4d@+wRfu9S_#MJ@KnY9Y^I^YmqDnGyB@VCHP3UIQM7t^cTd;nYo3j0vEqEsU_<+yo3s0@{Ayci^u5G_QP(_2Z=lA zt-4^|>8w=jxMt-t=I|Y!%CqbJgTx)=A(|bZtoMA_bWSI2n~or-gM~pO3+Er{(x=GU zIXYzZ*yiO4jp~*~_eaTOMp5U~`XqiHGKl$ZfYYy5Q7?1e?gm8}~bHJ04L~{Iqk1 zn<_lcCDN}=>t6m?gbI$N)0Rvoh1 z!2h7nvd~5n;tsOf*xYJ7)ex}V5_nwV-ncNP+K}D4y?5auihExZmNi#XTgr}_+M0aK zJ}hwkZ-=KhpMBphw^+IEqE`p3Equ7b%+s%Y{bk&zpH!Y%aAdqJr%E-49uQ$0a6ol) z?-$=pogZvbcyIl{yqkDv?k*@i?qv5+dj+(ILw!2*+O%d9goEBGD4d(MaQi!JPo&H= z&ujF2ria_9_xe8AJhSK73r$wvuI?m=JE*QN{W`(rX?~fPH1#rv(bS9K#;ttf4!Rp{ zHJN(r?A>05Zd2TirpZfoHi$Lr8d^Nzv}$i*@ys(L^sh4mxEBoYJp?4Y(HIjoNxwQq zZWk!Ry+LIxfV-zb0wU>;X<8A7sXkH*_{I^)+DyBw{fuQ0NLig@QUXVpF|>z5vI_Ts z3WXF|I!)`khKM^@t+W!X3VF1Hm}d!t@}xP$7KHuQ%qKo%eikOf|&1;CGBTs`CtX6AU@9J;ziANH8( zyB7Bh-@2By;V|!xyAlGH|gk|h9usj|Y1tQpWw1dpK*J0RCERWm!LPj|V zF8@bA0z9lPPNC_9%~6{+j!GiEz#F0$ct+eo;tmpbkhp`y9VG7HD-0t^dV%Vv`qUG` z_@`1#twvUJc_s!a#!7+VJ7F2gu@X_ZjAetw@e<#dF!fL}Ccrx?0zCcYQNb)<+&Pdh z7P2q>vPdtWV3XZRFHmkKn7D)0O4EotNZi4CtryU}7g!m3-qf<+ z{Zf3-!082uJBV*iD3Jxo0%QTQ!0WI8=>>>8sGAV^pW}FuUV!uhFZ*%`-1!Zi%QVp|ZzORPa($@2>(^hYJnBEB->z{Mrw`*f2t=i2&m0mM+@GTIm zJnJu=Y24&MXWqUaw&s7D@=>eZ_P-^G{G~T!k)=Dc&AJ>})8yTl@1Lx^w9D2=k?ri{ zHEZJS4)1+9;-|IZ42j>^f9^V8v@Gs#JUi3CF}sJb@shw{@!}NTd7tzRc@7aX!;Wo_ zmF(F0(da+7y?uM=;H`(}EY2C}_v<&Izm2v%Im>jGDEp9oQA*H+cIz$$f7~XaMfmE_ z8_vDPFEP1x`t+!t-q+kN-0o!<;e2tbL)d#J(*paLJe{{Cpy^i!js{BqC|YT zyI!iZH#?jQYQx`nZtaJ6@-Kz1IC16qmVQ5{AI$Fh`>Gx*_G}n?-PU%7&0Xg3ztnW09N@ z$coqmzJf)@34=hHIr;@G{o>*V3+0L+n8#3;5I~6{D1psCCScPTx^}?tqT1*=?7U<_mHDv3=3u1Y7zerc>&;U`Ows}ErhB4P$QAUq9BjJ@6=$`C7> zqnKIZui{tE!v6;~R+t!lDhmQsDi;0|Ix-!W#TKV#LRkSTOk?8)^2Ko?R>ri|ot*}{ zqhF@-`A!5?maXQud5levSg3$%5RPL5Brx0OFG)8uSDz-75CAzw2ajna<;&zORu@1U z*f_?_Rj!Z;#R(cafEkVLH2tsoKV_vW9m1Ch6&YqaT3`kg=+1pl95<^P}K9rPGImIK*z;NGZ9l%1J@W7+kl9G#^FQ%wofG;)*jzwY$I zryV-voo#HqdBTCgHxu(4R%DUkf4&oB{^3piljXno=HK6!o#~o!OtSUz{7;BGc+}rw zT;%rXCMSk=_BI^tJ9A!Rec~E%2Z=kFDpPk5CfG7hh8CV{a&*nVi9hV=3=a+X41kHT zs-Tg@?!#mV>>=(T7=gHh(8pCy#2tM6N!zH=0WM3=N6vh=S;xjrPQ~e$AexP#by zVDpm<0|smDmBW;W`VECxx$0&H%YCjtu&@D~qIx){#`>v;T)Kj#P*686vn^LpGuqOX z?B=LHxG7f>2VA;x#2v)?Dd$J!5CJCa(%6v=PNXdK3EP%lH^B#vU%bTrj--Ug^7cd&YAB;pQM zzGyBuYtc0ges3;h9e1$(r(1>&8I<-b&d_jv z1dUoVQdp%#79b0d1;_$qfmdz;@FSQ|54nR?#YLV3|9L(y4*rQC?zfz$fWHbgD=QZM zCa7b93wdH*8gR76z!r)Jj&sly54;9lF8L9V9|8Fh5O+}9t>VL13}jBbgX@WqIh7Bt zkA;lde@wWJ8Fi#6Ckhp`S z7a+X==>IK2RI2f-MW$O2>m zvH)4&wOD}k0>m98?qDqnMb&VRo>g(`D)G8ra@tHiP4`0cbK1E)Zdw!@8jSB12A{~o z!D-Jp?J`b__Jxew5S`|vT}Gr@x^@}&Ng(62DV84!8R|5_ONoei-=psb$sH&%BQ%hnu{B4f_ekIQP3`!@xsT9+wsPj z5|i^m{o)Q*rR%HIj*(t~$9q>>l@;5uU~Tns+hH{qbHwg1E{t@&H|5=@-^486kh&PF z-@+iRD(+w!?4tDFEl>k@aK?LC9D2}8L**K~@ctJ6T}%0C{vB8Re4xwSXD2q5WX}Ea>{!+(CMhv7Sh~V_xvzPi%Z#Gc!@GOBK40@}M2i*Y zdj=cY=iHp#XXRJhN~7;37L2;)He#|x-|p+DHS-<$;LnLpmkoOjYqfaBzSM2*ex;)g z4}_FBmfECePxV}Kqy3Gn9~=a>3xoT$oc`3z$Ni^Mg3}H={aZSo@!XW~*D=xZ8K*B5 zuIjP6ATuw0g0yJY?8(aQ-z6{FvU$JZ4Z{&1{ID|TeC)}t3&j6?bu_p)FYu$;cYJo8 zDM%?gIA#CwV3}pSTlhzNO%;Z=&5sQ}aDLm7b&IYnx-{z6&|w2wXS>Z>d}Y|GRgVYE zzxct)_gnQ5-0Qk%rGtyxgT!GS9CLf*D(2+~CMA#A{rEwj+lP)E_Sn^+;m?n9h&xE! zLE;Ybh&w1r0I>>kGsB?4M7buWu&kpRhODxqrXinv%swn|{cne-H=ljqF1J{@?xI(R zig-nQNWsk0Z(DF(KP6-pXpbDKIr4lz;tqO67?c)V{y5LxB8yw(TK*I`rDKW)p;i-YF=Yo3(KJJ8Ms*%rwty^n9j=+o|{ZKG;07 z=h+KQR^P7fP9*N2x}nlwvKq0qq*F&1z2IU`c{p2lXTFV3ja#oqfEW z^uXFydS#Kqtt&MVN^$Qd85;$gt~NG)0{2+rO|bSfsT_CkxWVDj-y__ok{>}$egyy2AMPOe5fFEfxP$%4kAVCL$d7>h2=r%U zi91*;`hDUKMiF;#X!Tw<hI^;pa0hvRuiow9)zTVY zt8#t>#2w^bq0lE;fGj{3APc-|3xFTN#Cpgb?9u$r#|6hyJGcATa`?AjY+8J0|Hv7{ z9i({RubAUS+(F_F5_gcegW6sdAHM22caZ!DQe_bQnFA0G;fwhRtSkVSgdok*zL4hT z^RZUZ9||>voGS}PUB+cp-K0Q9cMa6Hg=&|vi^aKn&XuDlEOY))cwEjOsvRtI{!pC% z6ka}kA)`+KF2_Q~@8I$Og&#pVy+HRiop-%`L_C@F0&j?3;5l&zi91N#LDCD5UV!uh zq!%E)fJ787W7!~ayu>$#^a2?W_Po{&LU9V!1Vn9APtpsJUV!uhZ>C;A#~m#7UwZ!3 zCdWK|y#R3s;YAB2vH)3tEI<}`EfyfX0C5L(6CyZ~(j78RieSQtj$V+ti4k1y0~se% zFyUaOKV%M?gzF)Yxd|Iwuh;W$q!%E)0OKqb-k$i?qmHBU zthHbsN07HHTz`04gk_HD*B1&e7Z)_NKPcO6pC@wqcHo44f49AEc`CMb}dur^-W_+m?@PuZroN z6ni0M%~p%p605Aa-3w>C1|$_n9F*rR`t`@?{Y&4An44xUiZxj4+jYT+ZnBvRo_tm? z$0FgMmDYo%9$Y?W@MA&GU$1_Zvc!7UsFF+z``Z&QbxDg<7XF^zB`Bfq=0!XD6!%va zxb0|tYVR$b7sR<7FxnipwGDUY!V1GEQU6f-PV&Y}Rt%xE<1?gHk;F)w`Z7-KBT zSipWLh#))QfG|xfe9v8dycSSi3}52Lzl=q4Mj$I<6Zi@i=^+djn4@37QjY_zE+K#t zMNk5V-k9LG3|%|mcd_+&4tu!4IMYIpc@iKIi&=ppCO{+m34M(*pgYm|5bl;#bbX{|7Zzn3$$|UqOJ1<|oSv{|OHT zO*LhCj4ejD31tPWFpZ5H$QQ?nSQ*n+cXk>h>X)f}z7yf$!InFoO^{fqfNBtqV*?~G z(*%STGjsK6LJ0xjTXY1MMpC{^&JuT!xPvm{4i4wKC46AdnRw1ax^ze6e*VG&ZFkZE zHut!fc zQ8&@j3UviVOInILDlL(su2Q!;s!GwuP1Vo;^V!|mOwO;ix%~Ti+9&(W>@&}f&&+)0 znR(uy*E4T;R-5Hfd8aqV+ebY4bbrfjC&Q1OdDbD#T{|qcRfJ#b=063=&hh=K;kq<_ zOm)p%p@%MJ8la1HKZ=WS3U?#!Ao|>n;JZ1;&e$qhl^n&c25~F8e{-yr4z;*9CPw#f z?hoH|fEO<)R>j2Fme9!RwjTuFEQ8lE-1dwGzjgff9yoLEeN9;Ad;=o0vf-QBu9?ts zx{FXSO`-2C^$T|h$k*Q9`}xNonm9%+Q}XK=IW!E+-{qiQ5-=9aRe5E+QS{BI0E7h z5_hnO;!*+ur4)?^mBh)&Y{LlHLs*F~~pI^ISITK2Um6*(`%65hW+BDGA z5o(=k!YXCWv+YpR$B3_awbw90t!+)1h9|&<6SuxbAk>2IrAG(&L{|0`b>_#*IaRCn6fvBp#2qB=U`6aSzE8C*=XO&qC+dij z{Ui&Gsj#fxW3}uJngu)tgO3soOFOe_*@xnO)m+n1sO?ZwyHc)C6;2jvrqs}0!2vSU zmkkhp`y9TWj#i&GR-jNj5p-FUjS zU|r0BKN~NR>5F}2vHAjxo+;!imJ=hO!$DqG7<{4(0%ZuXhB64-1ip}Q9ja7X8p?QT zrW(rlog6a9>lzGYln!9ub~I!zETtcmu`aI1-$pqemOTy2*uEQNY=@KTar@{9>u_3k zZheMm)(~@zd`3*^K75H+zLouQ2xJo`UaNgh{MM!Ov7Du|UY-rVbG%poq2`6;ugG6j zvcIA@0=*QgV#mk%2yhRtJ$LZCpSwJ3xFNk2=>=X7y?})YaR-SzNZdi<4ia~exP!zU zB<^7KWA=(PS#`>hK~>A(Ctr_cQY#iwYbZ(IJ0s@IZCP)Ec#yId`aqy`WB!bj} zzg7Y3Q=(#4fi#u4BuCJ6I(+QUhnxt3KFa1l$_nPYkig5=QFs(B$xyRJO_X5@? z^0K&ta=bJs{bLK1#T}d>GExWo>Nz^e-pYybLFW&k+EW-8IW!Damyr~I0pP=$T zIO*i#b!#Vm+sG@R%h>iK_C`$&-6k??YHfdT!QNpP+?O{@*_Y;f-}NKsTV9S)?;cFt z+&FXZu>&h2vp!A@UF&_+HT>S_IbRGIzWNudqdt!oiu#Ff4!-GG;Oc6%q34pH%$f1I zTP!y0j(XaqgTr4L58_`0ee%oc4gGI!n%uTc-4BO%u*iRwr}j9s?ApVp?i0LbT)!<# z*Tx5$oGx&fG(BbXgGOr>P3%;@NC-VfPQS?58YzV#BBex~W@)d38A7!*sK(ttn7YPl zIOJ4R=>Sj}(II2vRSHwf6-AYNn(-<*B+6qYacTa^YBfYY`-x$yT@~Zx<}a5^S&^*0 zbIF2!x6K3s_cwSL#`?UwCk*)|$YU8xx3J>>&WSDbs7>9z*#xnv!{quJMSEuAT!cf@ zjLE#B2Zl`z)H}KT-o+DlgL&nslkYgeyej{GjrcJ1`wz^z04>r>Yf05LIPN06~F zfE&spgZe^+Es(Ldh=~yn5=mrwJSWq*BoNfl5OOoa@UZJPfpX??{XetP(O&VHd4`q^ z=)Hx0$NjA*L~M_0aB6S|AJZ|tW-h4DC$8;zzu~<01|uRA^*}-Jh=PSjJN7w^q90=%YZL zu`;Uc1x(X2&Kkp`wxyXb)V>H?;!_4D#)cyX!mQYUqheZ@zqN<)M~FK}+(F*0#KQ3E z261LgBAiu+z`1iCEsVH>E(V7<4NNnj!;d$n+@BrzMbz_It9K7BMy_5WbQtWg4kV_&*noG|)f+5I)bX=*c+^e& zj)i@(dcd_|V~q_KLPaAF+M!rQeQ(QH)61FPxhYl?J6y(kwV?S zt7p#xW*acw#)_{$ckqWVI>gLBIOjUW5mXdMU|MUqgA_+lCOM|sh*%&Obkx`hwXI6a z1*>fzO7V1>lp`CgwmssMl#MmkLT%$3G!2i5MchIDq|zMFhPZ>B`DWp3UWq$M+(GE5 zj+ZOPl?9eVA4l9lHqnFUV=0b6jRt`;gW?E0BYF7Lff88~nuNH6#moX0A?{$B%6QR~ z=~Ib2D3#VYckrpyYL};aOLu-8LA~aTLig^3{*VR80%QTQ09l}tEdX%@rnQhe_~e`1 zKfkWmYUO}&b>{3kKI)p^%~MyWz&~9C0JK=puu4FsidtATC|46f&B}sq6&1966|ET5 zu~Mjk8d(;$Lba{Pur5~Df&!GVsC{K&OI$;`T!El7SQ#O4m=OH-He?PIhs(VobK{@b zS?LRzgTLW&2xLx(gUiv7xe<9>PAKvHcvwb&947o8Gq#746)`K9?KdmLTqDl#DGjTmI0E7h>g6KN++4?z zC6+zJDuq%$7Sue%9i%vdYVlVTNAO?c4mzC5n{|5Mi^ZfDcun*IX2cyN?jUgoi91N# zLE;V)caXS)#2tiDSmF-GsXYS15=2S`4$~8N5Qn5o=_+ZS!eaBk(maKwlwok~{HNzB z6ol{o{L%=w`&0kSQ;rP|yWSpOV{`Kq5_b@cL5VCt79b0d1**gXq!%E)fNl&O$GiS> z952!f=;kh@c?!ot_;N`-!2en%y#R3st6AR^J=d&uaRXPP1y|4H)pa_c z?BSFxZTU^mq>(7lXbm1eK6chIrD?3pXAN6j-R~RZosqyE9VV-BJOhS`j<(|>}HR; zkzwt0ck-2vDG{22o2eax<9#_-Ay)RX(kYxP!zUB<>&((}+80xG4~KaKC7A zo&oOF7L3y6e!}5-!?W5fkIFl}G2TAn$*22UZaW!%?98(cY3|x#v8^KfS~veGNOq3z zS4-Yur@CgY&{GgI4ba89AH~Hug}ZeNHz~}!`eC+{`J4w=xtk>RgMMyQFZZdt)oq_m zi!2R$gAoU^ETz4baM6(X7ARt!+uhD83lNjJ#1}8JGD<+cgtfPInOsrYZDI_X{WP zU{T}@L)<~DxcT2MOE7!hf4xTWxaIxr_M^VK6=C=2TvFQ=ZzNk2cMvWo?%@#57KutB zSFsG^76WKv?97>Whl}qVWbR^S9D-#MwL~P9Oke}#i3+)lm8tbKUQ2Vt?tTLyRt|=X zlp*dQD^~x1#2vgN{{4@oVF&6_96?2K1j5?G9i%t{EyWQ~90A1RdR|WC?yJ~ID%3DRf;1R7wIv$&#=Db^dJB0aRgah9Ko?&3!4@0^xDafBOvY| z|5Y`W$pT~nvH)42GA#gc1j1U#9enZCj6cS7`+6$-o>%1H!Qbvbyf|zyaR<5iH&O4; z4JTn4GxGUx9nA->ACE(upg@zjg9BmavS5hw4%WpC__Og6nZDRZ7OO8%90A1lZ<)l&^!GDcA z_{-|=J8C7D|0KP@YoZr0C+;BW1xPPY&ih{899lF_A%8+Xny0YL8R%%9LT*aFM3q=h z^AyrNh5C6KD;iVd`B<8#&^YB9j5JznEQMN!(zEmqV%4Hpn9DFaz$Y?9DUXMHS0xI4 z21yLcG2#w#zRWOTBIyN4FThDE zh`B~SBc}ABRIpNhluNjZRjMNUvm#lCK#@$sN+SbV73l>?FQ7m9YW2LT(R0mf7k98M zT_14=!8f5Fs|@a-%hosE`HPLZiS1dNq?E-SOo6W`{c{Ucz#W`1(g+{yrpM`A+4D!6 z$k8|2%Dm~f!kM1bh}`Y-{Q?it&}eu-*U)m^LXfv zhwI;({N>|zqq6M`d?qKjm+tg$x9W%dj`yCQ`s_x={4dUrXM0AEO-SgkSmm;^msNJF z8OPQP?cCMv<=W@No2Yh4!hlrgdHRo9l0x2V0087WePd^u1@6Jw3iZEk4`g zEB~g>=em9t|JMoW${A;`6y$VSlb4a5I!SSC*W7f?_HPrHY}vfu^f%Mt?;cz|=VHu{ zoj#EL-dxRH{hH5mpS|?wAvrlu`YpWt?vJCJ^%mdnv}ARQR_+fIhO~9g>XM~iFh@Kkaop}F z4}0G|eDsLtt~zypcsz%XMI!DXaR-SzNZdh~!^d!6AnqV>2U&yIRKPUVPdOjWO+oJg z!^8?!sg|%ROEX_MWiG;bgO8n<7#pQw%RwR;psSeH!$_2L6GCdQU) zB_LUSj4#=WrRnufg)#j{G?_Jg3-GI)mOwr4E( zt>d@E9b{2yTIvWN24Oe8K)@FiOk+QVLMjo9)DpQYj8&^8vUruh2)J*yv22_Oj#scu zr54G=c+i+u6&!wUV?6p@fcsW-TmtnC;Zb7};oC#-Ex!D~6qZp~MLfvqei>KvP0wTW z9az&(w~E{wyYG&#cVWzXp4)_5Po+w^4lKUFvFi40z^JfhO`Cd-Uf+Jx<3*#l7qm*g zGqFX-pMSaWXT*B9IDsG}!ZF~%D5rJ3r*CXB_DS|P!{b8IRy>N`W_hGn>yMW1NO@%C z{%PXal#T6j-rLjSnP%UtVMC8Ub0qE{oI4Fmo;!Wz%0y2*TaH2|?x5UXo*J!?E7gIZ z-!Xc~@y-H4eXtKEroLF77-Os#1`~!D>wV?St7jjRtX9iqy4C{ZGTHxM+`$ze+`P4| z>4c{gM^I550aJUpgA_+V+(8M&5l|cfaR-SzSc!6EiX$kWMunY75Bvj zk%sewADd;VsUy@n)zFDbaRd}cKyd{6H$~3eE9#Q7pc-a9g*PJeAse}ach5iW#Gc&o zJ?aI7j6z`3%_@<&gJ29wWC5}OS%551B^H1<0;U#n2d~(!KNy-k)-lN`VE&3xcivhV z@?t-62Z=kV7lz>2Jc`lL9ys=ff^*CqYY^9QWfZXEcr9ielgEtX$Jo)D+HY2fxkjAf zQ;qKubfdZ6t9?%V)}`~YoTal~o(;cqyjTCB=7pv7w?*OxZg|=-7|kgPR9wLzEih;Z z46*aNAxgj4SmF*+9DxXkf(oDq%Hb~(1=YX~M2?_R_qT{FNZdh+BY3q3SiK*kI0Cqh zp!^1JFL+Dwh0Mi6{lho{yt5hk0)w8<_~}|q)BU6ucun*I7Q`JS?jY#}NH0Ko0n!VQ zUVtCLUHMEmZiJll0$n{kx$$7q3y@x*B6b_;1p%i!ffxC~6pXvmkcx%JkR(nGkZ)Zt{b$D9~ zHIz|;*1%B4`reRHVutmxUA#5oZLJMt?zULTdVwrx+89S5?YJfHbGbc;FA;WNWdY>$!e z_-fIZKeu(bJ9yyMOY@e_8R2*B>(Cox9DbZ_F zd3)k+^Iy)M9p&xwi~FU!-AuzfU7pq=Y^3@0z~1K17HkP<_+|d_K*b+tR;+K?{^z87 z-L&U#x4kf^wdm6e>)!u8_e$uhQ$N4l(&vY#2WEA;nbT#}o{i&gIXKL)zsDST^266H zyoN2keD#m3uAMx(Ub7l@;Br*gPn){fOq~9i{a^k|+`1iT*>%+QfxoRi{C|sVAGIa! zpt`+tpA=T6_EW~Ih&xE!LE;V)cTgahGx>t2V)>2;;tq01K;jN++UYwq=_j1R0yq46 z6(X7ARtu1zFu(yj@z`v>T%O!hT+OC;^w@Y^s3Z^OaP4^3T2*}so-uwC2 z(-!u(F1WuTDEl@xjU8<4L>HWF>G%km#Ez{iHBc=Oz-oT8mzfn-cA6;Prz7maTTv{go!&y+`;qr-Zpig>R~orb>pi# zF_xV|&rCY2-CJ;G=DFeg^UMUU1(Virfy#+XSfB! z0W#B=3AI)=^!r>l4JI=YD6FaxKLfv4j61kA_Ui}2oUGpXT9vqihBqhlK^7njkOjyB zRb~N*Be1N6+`)}o4(|SX^~N>rPp^?(YtW-5}ySC#CqqUTZ^0ZuMcvEzHq;|MT3!KfEF z^nog%$?TpRNiXo4=mo5ZJ4oC?;tmpbkhp`y9VG4`aR=qnVM>+_mc_|?MOUq)grhJj zMdKy1cpUt!Q;rO(TK0pl$Fix(K|Y$Nu$X3#^a8{kWXH>u6%+nQFQB(kalLCz>jjMO z1y=u%zr5MxyNCID0pbo;*)SP3Oco#ukOltp79hO@QJK~ z;bl`#nx_z{2K^u-+Y9@=IL61#@8xM&Mjn_OWaNHvXiIJ^&=J<*bd%hA(hIylc5w&G()AH{&~U2#!+8peaR;9S z%N`9(U$_c=Byk7vBChoG>fFKak|#C}7+Poj%*;&Y!ndQZ{I<|_RSk0o$Cly_KK)zV z!FxLH;I1;bgGWko2WPzschIFG?%*RmcQCjVcQEzeaR(=VOx(e-teCiiA#$Y}!p+%O z#?ph&@QaDD<(h`Q5XG}f-S&gvn`Q6}&27(E@LR`k@4*u?K4fDG%bZ_D7Xfhxm1+sA z^5DRSc=Wpf3$f_91hR1$>VZd%NrZ0?!MDcal2KSi$7Q--#ua_j^BBFmF#U9^$i1=q z?)ZAd9VG4`oQR1n1Ohww#8d+QH&~Qd&GiY;pl*6_7+dEa}8RSKnitlp1N908nCsfJSwPcL7{Ts+i2 zj3dCa*T@%mt9|3c+OTnLNiR^=xoS8UEozZoz=pVk#2qB=AaMtYJ4oC?;tmpbkhp^| zR8QQ&IJHMWSb|8Yn--V!0;uB{QBqfFp)nPfr8ccvK}YdfXc?3qH>_?^35N`26;gRx zA}dp)zQtVAP^j%tQ@c{G28-q?luBz#FJOExkn_i)-R*llDdg(~h&zaHPAHKD$O2>m zvOrZ>fb;@1{zlwE(hDf$DwYe9M?dotQW$(9HUWoQV+~~-=l6w->u@->rJ;;c7H-@O zzmr2oWCGS73>nx8I_%qM_~eFj`#~A&VxJd(n;T~%?jZOi(hKNMh}MRa1U_^pqdR4SM#n~-${cuJygqeH*1*Etv9{OK{)~>e%BOXu+nWe9 za7g?hBP)e5?<-d(dIG$u1TxCfYz%ze5zLDn6!;J@LafSIgP2Mpk|M|sI3P^J65Dy` z+C#dK3^wB6$BcDiS|BTB<3(y6uZ6)1^Y|5P{9u7#cweqV5Q(A2F{r`esf^$$ z2EE5i_y%_j6VoInS*@1KbflL6xl9J2!RP>~L_CghaFfRncTlNfi90Bm$j1ZO0M2HZ zJWP!^8%ujcUOB-TW#U|PzG=o}8o*Rj1NClhO770~2S0AxHv4>i;pR#C18*nf)He16PKF;l^Q=RfyLMP? zs|df=&3_7#ohyFa@BkF0sjitT^jNb@19Y+OM{zMu;cnf+O$zg_ewgiKKIeh)CW+6B zKR2qE`_$d)w$CQ$z?hg|w+0owvMi;&m2lYd2D!G&%red|+)-!A<45&x-Prh}i`_aV z=dkTY(Yw7@gw~T^Ka<=u`=07ia&xC=chuf0hhsp8A8$;#KRfV?sOPg*?@C&5Zr=xQ4ZYDy z(DnA+!DB!B@kGw$&-Qe_=h36znj;OecikEId{shY-^RnV!@g+$@b=5$r*F!f2UvJ? zIdb93^=sch`RL8aF#)ZXUyPXfPNR198=Q{zZrQH2huK#1X?KV_2-YUyk@S%54+7N|lCKpa7xTF4#T+4ktzY~QJG<}MT!o=pGxM$GP> z$A~*f+(F_F;v{(7Ool41V3^X-Fn6IrN~4py@pNm!vK09^)}M`+$n?cNvRHiqM$agY zK=HqTYbC!g0Lvis_ z`0VZrnTv-)B`|J-?Y{#V+u8dV$wOFHo1b zgTx&q?jUgoi91N#LE;V)caXS)#2u8Y3u2_>=sS%54+7N`mfkY0eegT?`o{~X7Q<|))^2uLq5PAwVg zm>E$9IUdSN-F%5EKuF@uiDFoz(#?{ngc%Y=Fr#8Ld=q0>lcKASb116eKb%1^9=;*H zK&9&i>eepqU|G68;toPb4WHxxpDJ8ZB$YZG}{+(CI&^b7w(ZI;a) zG*Soq>Tz7@uIcg-&L4g@-E3Nz-xIqZZ;5wYs3z*53y51*4LWTRy27mW{&|bTKKp(0 zhEHZrpP6_eIMdI0+PfET{T>$K`s=c5hezIgFYKoTmH**M4?bA8cG9Ko4o+XSb$rnJq&f%9JGmsy-VkAZ>ie*a6J~xkW5>1F zT(H6`r}ZAw9{cuX?uZ#?`rvr)cjEd^_&z5-|6}Jnzlof?Z{tI!h&$L;2blx55(Dfl z#2;c}oOsxjBE*WGUTA5f&i70mHz}alo89~fFOfVR?JFL*w`SE zELO@YnKzA8L_M&HU#CwB%*o@Yj8_@=cQPoc9Q3~}VC;is5;fo-B@@^Hd18zlST2@U zy4{2tV!*c;2`=>%BH|7rRFJrXI)EW@2eHS1O2s*oFL)}J?;!3VC$3isn5N~UHVo>!PkyYtXi<6HUv9sp> zuzd%RjvDvEmTTG&9H85N5Y$=*x>jy`#)98EetQp`IY;aa%bc&LL~7a@IyEPp!U8w^ zdgQ&vb00Wnozbkn+^ua%#waRlF(Yr(SjJ_3(ss>+meXB?f@unU)BVC70`j%D_kRBM zw1xex3+`_S%D#F-e{6!K##nF`I(Ei_gHr-X{J?n zy_YjR-A|A7eYkn1_xVc=*4!;`C(NJ;#*$+OzQPeE?%;(n?|E($ZatMM<@&KGe75TL zY{00nW=)%Vj$Yq>)8j>>w->ZZzB92!$De<>@n^((w>W_yB*HP^!6>J7y{B(%GWJRK zH^bvX(pEf*-DY{DS8L)93Oa%n@eIerSc~O}3b_n=IVyA=<@2kLLTyeo&R7`U$T5st zj9f0o&XIX{xcJUN<}PN&p;+fg|08-DucbNO{=R{0!q}6tlLGA;QFsa4T=Z&4gUMR5f6Y7cji;s}U4SaM!o;tob~6Z9%Y zsdL5|gx2%u!*4ia}z&t0sD&BbH8)+q;gOWeWgi|!aCF+`Mk z1cW7slsXKfRZSijzE8E()Ddc(Y6#*++`*D0Mv5bV$bpJ{+kZWdAS-eWK-;1V;-J$Iwl-;Z82;AX-{(_3AM zYx@;(yhxW@$+}#MBcM0}X=EU)qBsJ5qyWVcM8oZt)9&EISOR2DrGv}kAtNXb6E0)M z@qd)q;Chg5>b6H=3l8~%AW5esLYf@)>*F3hMg;-GU}85Ib)9cJ7Q%vcA1XKM6Z ziX%u?irN1TchLUvTjsejgI!23@S5laY>7Ka+(F_F5_gcegTx&q?jUgot8Zkwv?h!6 z0%|tVgPWz-T4O2HI#l=IIOzrYmeUy^y#VP2I5ACR(Mdvj0f?M+9ulKs@sZasy9vDg znGe~>9XvMYjB}Hu?BsgG6- zKl|7$YD&*%XD<8|r z$HVn+P5$z6yHVM81}qQ99rV|82SY334yGHpgU>7E4t`J;cksYJ;0`*J!yP@s9`?D6^}gcQv*+Oi1LQIpD^?pTK7)!zWHq0x{n~H`ch=Jmc+;Un z8;T>SD2~9c_HYL&j)1s>#2qYBg{5@n_V$A*s;;K9S)d z*;GaLhc|Q`0!1iAYuPBZ{KauQzhdbDy-hkL1o2GRqy})at7pPC%LE;V) zcd#7P5a|WVF%0)2n)Cw2^d$lcn6R_b7c$Phg$b8KAamdjT&~p| zFVYK;UV!uh#2o}bg{jv2tLVA)YZrI0EL|UQ2MwoEWpD>u-`@4!hjl+0gr}x8aR>1# zt?cw_+`-5H*KzacDd|Hy&z|M_-6`8&7M%!`b9kp};0~@HblO7C9bEoO+`$LH9i04a zBd>riW7~)CjhY&|O=Qy4+Wz2zm%}c&FK?*h4zByk`IeVs)Vl{|n;U2DJ!aqz-ta!^ z8h&r|(k~7VU%kfasL!K?qJH9=gKv5kxVlu@P=Y(Ser??k zhj*~Zf0k$D4sMxo{kAM!8z1?N_u*_i%>8%5R|W`o}N>3ceX$Haoe`p=j#hMPs$&7 zJ0Z6&Iy!s+#4Iq-P}@UMbmX(XiK?G^YcF&QR;Ak<*}T$Kqc;}lMxOQNo0(v8?Y9c#wE~C z9)^a13@HeM-8KA(AT0B^{-0Ut$hG*)JVVQ&dIK)>JMM2iA!2(}gHwY$_?V9AHFH6I zG$5*H@yUA6`wi!{Hy9CVN(TypM-(hP+OhXBJC~@CHRBr>w-nUiB@L6AtN%Hzo&M)W zUy8V1FC?PZi`j)|TaRcy%kFu1;tuL9mToxu`2K>pgK$A)&aa}2K-)DFT1GcAO`$(6 z`-M9Mf7=#f`2KXdW+ z9_vme&9us{_j0DE`{|Ls4>!;BK7Xmfn!Dv)8#paIV+nc@H9%Njg)B+jLE;V)cMz_c z#1;a99eiRc0reXUQUW8ZZxhrr!vE}x!~d|$Wvo~T6^&3o;tmdzhq1CE>+EUCvrYqX z0xKTpFHemQVpIFb)GQ*TjfNDs2m}rE2S#^r@K5;e&o7N|yFYcWbN0hw`*MOQj-aAA z0{hy-9i%t{;tmpbuv|h|k;av{gTx&iF9(%tMe`N&HLny$Kyd_rSMy5TL7}#O4Kca~ zvuckZwOWA)HJh4*g<*sV?gViMi91+6yp*_u#Ul807fqS5SrkW5b8!Sl?qIOZ4ELxN z!m+3q5CU${rkhnFaR>3u2_>=sS%54+7N`mfKpcU6E#waRF8M!)t6j4P+PxirKbSq- zByrMG*%bKqiv+RopHv_bs03>GtA-eWM3^X;6~x1mOqWwY7dsBth+$T4Db&EZxmnnX z6~w{z`gO6o78FoZ1?wq}fZ_gL^4r4s|pvIwL~#WFHSXZm#6jX8<~PkEy})at7jPi%AaMtYJ6H~0kH^F!y#VP2NH1WV9Wt^= zVpFDog61hKf2gngu`SXIkX}GHgC*$&iUIZpN@PiB65zzkS%54+79a~$g#}12Kzf1y?)V#V2Z=jK+(F_F z8t$z4@D&Xi^$3`7IRP>!Qo-f%kWr|D370XWt^xH9xE^&7xIKa}P!ECV6Vx@JNCrhU zSQmvbm~lNPdg00_tikOtV>_6!4*t&6=(!HHi#u4BuCJ8tNhe$~Xp&w@zw|GKQrzJJ zi|KSv$RI-VqDk8u%6Pbv4D0Yvgc{0th%nZlI|SZP#zTPhv0YC0)CS6&?upY$;UV&c zjE5M@F_3W^Z2uj|*baV&iQC8iQ07hn-4_Bu7JM4x2wXjr!y6vRjMtrJCQ?BiZ<|Zj z%dPuZF0!<=SYqMJM8HZ)|HcBPxPvodGYj<)orMGS5S@mmKTY)O*e7<@7pI;Te%a>a z7j^|A4mvmje*4An>sEo|+DvV=L)9%H>XVe7o7TjPwp}gv{Qik|yS@qAn=k3L{VUT3 z(>$NI%=)s>diT1q@7KS1_q))yLvm7nHTihg0w>#DgGKimwEO1E!(C?QOc~Yo_Wm|$ z9tJFr3!Hq!9rPmZU@~zBQ!TA5O)VL8>0*G}82L8D9Ym{uqnOCY1K9x12Dmpm0;45y z2a$_L+(Ch$EnGca?k60cH$1D&@~FJi8{_RGo_xB$<+hXI$Id+Kkmjx(7TYSquXXdE zf@J5)Bfa4*cdBdV3jLij(*Rwp`%zqsQ@C5VaFfEks~=`Nna_D}mAg}7Kj`O1^>UxO zTiy2A1RWR?6YSRXg)GZb+FJ>SEpL!(yUZ-({K6e|mOOq`|JIF-Kf2hhV{#7LZWO)S zdqrqH`Sml&J+tqr9wj$-dUi+cJ!j>NI(2e}s0$Ol27LEL$z3Jxpg?dBdYssq#YEge z48E{5^95TFcaXS)#2thSfw+S)Mg3}cOCgraWUN?ir2qM}6bKrEoiH)Byt>73f|H>Z z_e{^&tJzdF(gg9dYPf@+WiM*Jd7;f}iX*5fj-Wy9;SN$90dWV3J6I%&EX#mn|S!Hy9PoyDM*txGn%Bq~*QoD6+8yU*Ru}W4Z zW}W?1DmF1j3Z3A{R~D)pD|!TkC5V(dT$qE#MyPeHiMR#H=AF=>hfGj{3s6q=s96^Ix$Q_hLJ9VGGuJ5De&$acJygAVM>h+})h&zZPM@*b3 zk}KmfalHP4E|=m6D2{;Q2q=yK9VsMy_@X!h{fxlQLt<2H1!J^&ek#Qgz$sJ7aReBi zVB`zD_0y+^-wTgDM|yz@&P9t_q!(yN+(F_F5_hniVR7DA7I6oOJ4oC?qe_Fgga1I| z!i|vQM091&sYTpD(hHDYfb;^1>?_@Bh&yPMPY`!df65fUb~srlzHL`nm7e27o~#tJ zsOPNg*-ha0=JVeRTz@)i_1iX$5ApQ^#2rKnQ6dYF1;_$qfvT_o=>>>8Xap;v?w~Vd zgeze}P{7-eIlvMw_lC^Hv2)Y_4yJ_5A&|KM%vwMGMtT9#3y@xb^a9|sFx7g06+O3M z?cxrWrRyW^py5=i4DR4*cINi^KHv4lQ`4HbgLsuzc6tTw;LGq6R$tHSb7|w2ZMV*z z&HutRRQr^pDG_(@MbIa|oZis?=BCN(*Vg@Tcn6F8XL)LmL(8r`EOei+WybZ}vUF{H zVExkt4wI&*jDFr|&7!GYMxByIGQG{60w_<6-ZE_y^^9c6C#5Ck>f~1v_mH&i?0wb2i0pBu* zvSL<}!o~)PWU*3K$-HUYJG~N(U#Il`PKJjE2mNmg82ezEL@g0XB@@^HIm{#uEEnPq zs?@=1HgOQEPLRhkmTr2gp#FFhchJKCUcmD=49tPpXG~$4$Mt|b;tmpbkZG#-MA4ik z7S9?5t1P0@U4-)nG0~V98zrls9(#~T1~@9Fb@^L+gc_=<(C2o97b*Bo1ruY-wGxml zRVOQ1BiJe(YH@E&pmkF>yq3-VVVe%{;>EZZwp`P&7ovDpsoQ=K)LI6wW4P@Z3x4bP zEpZ2Rs^?Nin7D()9VG4`I0)hn@_M*MonoVVuASV$Hha!o7H^%|i{c0>iX&)Ld$@xX zM?l;`;tm!OvWn}lD2||<01Hl_M%=;9{O}4sBgi-pc!w)lo3)fm>DbzYt_l0YW6yot*t!k17$@i(m z9W)B((JT~4U^EBC5!A*w0wZ_uPTcr27dmvH)42N-Y3!1dVDT zcks_8g}p338#?Lm;rEx=x&Cgu{k_7kh&xE!!AhPFoZ<*5j)39_D2~8zi^YepTFxD$ zI0B69SC!CI8N^ct4IwA0!lU{U5^4%LRBwAj86<{+B*+*Nhv9LdhBDq_8yL#?oi}8Z za$$XJ7enmuG-(5w3$Lq6?qEdxo+)u>KNwAVf!9PY(3rS`#2qB=U^&C$q!%d1Fr*hS zprMNEOZa13q!&<1B{4XrWv8ht)HW|Y@LBbfS#l$=tU@YJON5vu+#OquqfpzWCU=Lp zgIzs}M*5VZ@e)})9vPaa5F)OKJ6OI?CB1<0vLU@dF{K{q1!|*Sz&Ibl$9s|=+Bwy` z!`BNCcMx96P$CPE1;_$qfhw^8=>>>8XdDnB?jXws%i`p{qQj~TIIW-GYqigb-@0@@ zma}x$%d_Ekj`!+6)V#11ud4`#5XP$2@CrZ`$i|6)TELB#RXl9gSFTL-^oxxhDAAKj zf_2&ee>Pqs(--^5V)X@xwpGYgY{_x9Fz`6sI9sftjN@j$khyWTmWDEpvvK2Q_?;Xw zH_kQ~%G@~HXee{zZ2h2&b+ONjzm51xEPEQ3aU9PLGPc8^ExCPkgmsp@^%u||zdA~E8IRfD@*o&3U z@3u{U!)yKJjd@)~yWTeo9@=&3+V4AsY-n`3*@ExZ{46&+G4WjYW_dx!wxu148rjqJ z-n^K=?JFj_U9iY+@n)k|$`_7rIb^kYJaosy^>0o7@^QOS*>;vDCV2Rr;NH8_zul@I z@;lype(JLu8S}q5Kc4LwJvJeszhafk%3fC4t!5lsGqiJ8x0h?54{x&SqIZ8&r#ZLh z_Fnzvw!*0U33;P_aUY&;?b~_7^hUi#Jp6NV%d4hu4{5e^#=hij9)5*mO!Gr-I2YQd z&YISB*>A4DWgcuHc39lMPt*6FS@!h!{v0Zc1HQT>UT(V{Je$(GfhrfGp^_+__KX&>+_J3a<@84YzxM=S0J$IeUOFDL7 z>i(1cl{Rti!xrteP@6h5J~1%=;JEIjc*Sdchp=l*DnnpFHe+_wdmpp1bPQ{o(N(uo8AoFbmS{ zO#~YF^n+X&X$fsC>=8v|c=gpII+g4;4etjbucSS0}OLgY#{qNW;_*v>=O z9157}VhKR7UU=gVfTO@D1)5 zCZ-9Gk3!r*fk1385WxSs#MD!Wlqwbv7Yv%R$m3I~mC$82^7w?%W90fHjIFVDh)5|> zr&-$Tn2TWTG^obiKbX44YWViNsL}yYpy3sXiB~C1Emss(@@dAarQeez(m80{1s~7{>a%vxm5Y$Y*03m%K0ynnB_Y;t7gR zP;(|<@Kh|{5wTyiIL~k=X$z~n+)p?>Z+KRllSWOn0NKVY$x+M53X|e zN8FpA8`aBw>TY%0XA^W_^!K&Cuv(U-w6_utTizhoc9~hm`Gq^`EP4E>{;eAue{`{1 z$K)Kg-6(pu_lnSZ^6O`kduHELJxXrw^z4q>d(O%kb?W2{Q5Pn94fyVhlDnF2pibfg zCu3!NY8DW8u$}&BrJryL3*7MQk@p(Uec+gNMzj8Mx3+k+z!BRb;11&LD{#lY4pK(ODj0p((#eu3~+_zj|cxU zz0oAefF5}T^D`H3@3HPw(oC!DdM{^sx}P5D`*8D2@AH=$thrm>PJpWvFqYhVl25RCU&3VhHcWP}|h7a9#?(oS8 zzOkLokU8lR4*b6jnG=5Fa&O2e{>Frz6;uImIx1Wafy@bUaJg1(_2g?~2C%r&9 zh9T}?nWGl`u`S{b5_jT6`N^#bz2aq1LK~exK{6;oEkFRW;sH z;P>X^`;742pI;i`c7JNVTjZLTI|l5)S5}-}fVhKbAxdNcvH)3tEKn5|AiV%_2T3nb zjU7K-RK9mvoVrrHu78Q&(xGv9q!Qu|5_eE8P=LQv3e*A#e5#;?f8~N?D2bt*C=dx` zkmGf=N`9+?y12CjYDhr|Bp1XO)}-jx&oAh?z;F)L> zETdKnZG{{hl2}a zIGWN3_~moMuUiF!u_q))yL$;>;YLdNcfm4fJGSR&T?Y{Z)c9+>XQ^MNb-rqXS!+_;+ z0qy51$xi|PE6iH&pSL*lv)?Cg_+;kvnTZ#IGyR;Wy?gQ2?_m+Hzb?CWc;wCWu=5Ej z|09!5ez0!sq;DH}1#}tPK74P~)X;4rb02H_gA4YmFSsvnnDTR)@BMWjIp6YfjCyfU zy18-Y-eU(=MB0Cx8nV{=sB8GW(R05TFnskItD`Rrg(;NEV+%&muo4Owk?_iPtEKlumXxX)gh3*r)W?a85 zTcM4QH91}2Fllg8>Kruh zpiAD_U+5O=`zgp!SUYj#Pyx8 zu_Y`22_oW#`nm$f0i)7FSura~VPk_tvREmrWZra>$Bbpg>h{ihMjXFRpA?wu%ugAw zGVbqW@Qe;zd-lOHi5l>ak_l{pJTXS@FHg0!((NYH5EFM0`HEr1`HB-;=uw+!LdGVD zO&uoJ*C-+)nmFsb9#};)Ci99O7&bLf@9U=I?reYX*khvZtBOD}>$QV=N4q7p$o}QyGMO?2J64C3$?839H zM>L;h_q_X1?%sp~f8q}6;vI-PC=i5Y&evb-8sZKTcaXS)#2tkD191m=J>1uZJ2-cA zuX#hwJ%6M)f{Nk@n${lfAjJ_7caXS)MTD#plFlNHYa@+~Q0rJ)4_0lHun%U{9zklg z0wtymH5Ni`{TkdEPN3F6Q%9(Es)-#LBoQlhNI;K(umq7(XBLN=K1O`aE5#8|9Kqk! zyiy!NnQpCGG{1cRYEzTqU*ZlHo0*>PQz?#s;t2k(Po+2lsT2n@Y9fxn$Q^v4ZQpEg zc9@-(GC_XYY@^V@LZPczf`PhRqW8 zdNn5QAaMsPc|LIB4ia~exPuf&V7RT~!&j~5q*5Hge~mlneP~^w?>8r}kzU|6(F-^c zcaXS)#2qXLuSeX$atuRy0pbpF12<((LPzry(maJlDy%Y35#kPVS5OI&8gU0bx_T5F z7#}E+C817^^a91q0v2IVdfc$IV6R#vHi4`{Do;y<*h)M&=9-2=ZHJoL6})IrX%oh( z`=qK_nMxv;sc^SQFHm!O0V8*?$Ld>k<24T)_<8~24p#c`7&S;1APbNM$N~lnkX`^- zSuC)&Vu7Y56Qsb{**I8^gHI(;xIBxkRlqtq5Ve%R-AV+47cLRUi{>e0%Nomw)KgZR zhs3CK-+PEv3Z;B3aR-glUiJeYgfJ28^CE`}*;`olG%O=E!woW`*ElX0$Ln&0bsVw{ zih>N$tRdza`HYy-2uTGil?EiR;&Ci-2gzT(*7K@H&vmL@+`+PReZ(CEe}sN41~U39 z^keTpF3XSghxL3v28+eGgT1e;srSrtR2OWIxPxGX%1^Jr9b9SizGb`aZS&TClV|^S zdZ&?ZUKcrYY^Q4D4w}6>cko~Z+`(agn>#4#S2lO>=__*w)63uv%8IyyQLn@uTvHZz z@Ynn|S`&BBNcJnu@gwe_CF2C(P9gLdIlVPws}LzwY=~T`4iPCO>a-#zUm8^7`j|{z zV>NtnUR3D-P#Mu7W8zf`Q_B@am3*4f@Jymj{zi&>S5adPvQ%cZPH z*50{fL62cH6A0Yj;9(f+^C;plevu$2?qFm6Q&zO`Da!ybL~dpn9(LV9Lgd=##)=vL(#2w5TqApDI8t~m0B@LHuIQk42 zkGO-x9b}bi39GU+^M&()xP!zUB<>(w2*e$H9l3))hD^C8+bIxH96?#{-VN{CEt=IH z?jXex5OZHtwVKl+{&8&)vBCnajOMxNAnbxD@`N40On9&L3+B=4yCZ`XkW*j zyS=BryFvBn3%HQ{&5LC#-&>f|Py8q&sMX1+4CTc6yWyU%JzJ!!L4z05yrmHo6ewhvk@ zex^B`v2EoDSM8AQV`DBQt=(!JbHg@se&>R@ZUJM@gdb34FS&N;(*ETm!{?{Wkj9v- z>(%Ll;ax1^4xbcKYG{NKe_vs4j;s}$~W5_w)a@BNO7p0uhrG)*r-#P1J8@s zr*6p_SeQH3_Ilc%(Ggeq(5ZBL6M+WK2|vh)_F~NY%9V+p0>-ukrp(f841C=Y%!_?q z_z*BctjbseELtLxBAQNMY05M#v7Lvmy(Um!1{-luWX3u%Es&M6@gg+~TUjz#VIIGN z4UZhBt09IO$DjtvTQR|JnY!tybLc%@!Z%!JoN2=2qXfuhGFGgP4vcz6i#$G+S}Bpm>j8dXM&b_I;~9wvMib|v^G!1*^NQZnO%2q0x+%Fk+aLV6ZQJbg z^@W=!))ndt6}CX;o;n%fAdy6-zf2h7 z4ia~exPwKgREvOQsXAGyyZx9Cf~)2p+?=>8CoFTm{#w_x(_ic9C!E3pH~f0!y~cAN zIA)#EtiRl?ZOLn$f886)&~UM&?V1TKr@IIR(-iuq`-M9Mv%sB+d^>!6h|Oe$>Y?KMQ6zWfkBWkcM-ViA1A9n^c;;{Df} zjw8ql-~IWe5pMUVj=5)k?&+wYNPZjvaR-ZDqELw}Ko%eikOeB&0uV>=MlIwHet9Ei zxZ?Ni9lFO3YOOr<%};mUs?&tHgT(QwWR4fb5l|cf#Su^(f#IHt4__2VpjY5Hb29=* zmRL5-4X0BJc~cw#oHD79QL2Tfk1u4D6=NBdz^E<2@Vj>)TS4X|$DHP%KdiIlt%qVU zy}{i5th1j=#U{o`VOr`)BjD*3$D;Y;Sq;k>SgW?3 z;a)@&cd*!~1)q^cdI8c4kY0fF0)N}l>xMpwI~Zw@Pw;)JrKXNh>s0zCTrB{^5hZn% z78+AwS!&a2*&EUe6ua}1UZ6JW1&nb7*A92oPR>{y&esbNcM#s5P$CPE1;_$qfhw^8 z=>>>8s2j+@kaC2d5O*+6-YYsxo~#tJ__{J&#VS>i{aKN$L!d||VJl&H+0@f}waD7{<3zv}2xVVox-K0WhsU`I)@cL$*?5Ue zU+g1`)fXVzRv}lh+!z)*nwOBm45tK+g~b}mc$)Y^#&tLj22r%SGD=yvaWni*4jISC zu>N4kNYBB(?P$mx# zVvJlmOv$prGTosp@&36A4ycJ&S;v#~O{8zCWPMZg+!nQqJ6M*kuaqCtIU<9Pc_n}J zFCAY=Kjs9!0&i{TYmkWH_`Lr2*fwzo!3dR~UWq&SO|WVEjLUAO_F-FArQWf7 z*`U{veBurgcaXS);606476*LG9LkDWNeUYqB$CBSStawPk&38Sp7HDSNnvGbKV`g1 z&j&OW2quG(;TwCaO+~0aa#P+BLrv`WMF&)!u=7Rcs z;@Y0~8_sKQAg&=4^*}-Jh=PSjJN7*k2}7FhmvKe!F6J?McR}1i@0RUadzfuCpLU11gK(MiCm__-4ad~YNpiC zUct|s)g;1{>!!f~mOx=uT7y(&ax(b6`H+ozf%ZAlj609UTt>ZskWmP1x>+R>cMyz0 zi7Y@CAPbNMs>A{iN8nrwxr3*C+pYfV`TDz_-%ozyuTOo~ds`$%kuH~Xxs|NTCGH?` z2P+{kgyINNAR0?4P{Cg{{3j8}1o5y${;HDw6~z&}N&wYs9!F4&J9sB+&XJG1{Pmpl z0xf>LjM57l^D~^AsAngA#?$!&U1pbm!{@h&xy%LuAw_S%54+79b0N1xPPI+(9E) ziMWGCSY4F~MfLN0mBpzm#q0W)_$}fN5_eDu0LXY4$rlL{1q%3-!(SZP$1!~tM)Z}s zzj8q`l*CX@gqkwQ@w!?izg6iJ0ZQ0bB#^?4esY+K4K)Hv0qF%0WQQP3RGuTK&I~ew z>x7Weui_{$>L(CNhoC#mxSoS&a%BYDanL(#2Q${e2g9k+b6eIf?qFHEzEXaSxPyOd zp2A|>L8~V&DVuwDzM|(24iVJxwz*`z+`5nDB1=n)B^JI+gvk&%GbsH%3(PdhEY$N@ z-Z$`A>U`drt#1`NE6y_c&gM%#GjB`^d5;4NMndBQY|Y#HZrHuv^3UO$mgXc4?=;2R z%_{jXMP2W&`)o|r{4(rfnmYjdYAYwY8?FgWh{SeK^hi%ib;JCr%l>(ZWyA3qo- z{qT(j8{Q0;?nsOMG%3V(XW_o>Q#!4BV`o2dN?Nx1bv zm(L}^CmY`N8L=SxN2mKPQC>2Sj~;(Kbk)}Vn{J11`YLbKsXz914?D2tBeNxYkGGM_ z=eb-HA9>F|=$mxGPltV6#|+KP|Mk%!-%YZtDf{+qVqP4%ks%IS>APdViihK3LuS2Y zc{5?iy59<1-VYq=ljEwb7q{l|%)d@F_Gq>_H@gszyHOx19cxSW46zl{B_3OG0obzNb2U^`bpJ# zdY#dGm;vmz*l&Bh?3Eegu2d}wIrzoOZ}#rm)bH~8#c#hq?vIGH-7EGjNNC#2?rguC z@2&oS?41cfR8{-`2N*7!%B~`kY#PdvxQnK;DJ~%jCC#v z7PA(0&pGzp&+D6uX4nSXtWL*x21KaUN+6mCc~@L8VHlwg5%`=4!{{uk zJrM7hqt0Y(158vw(*aOjh&!mx22BRm-*_+wcE2#i7aTSDd*TjCByGVUolA2L%o#Sn z&GHeuPOi&#NxHxOYo9I06OWvF5S$-qOvv<03itOqAEP=W_N$yJMSmtgU$DYt)&d^7 z*m!YPhG$|xw?vDZyDq)8#M64#?Mp&4ie26xn>H!_Bhc=8*hc8USXf}UrY~gGe65R} zG-0`0vEwqUsU-`xHG2ErUFTn}t~=rDw1!!Az;T`Iwcaa+Hc?+bmG|P3Te`b>UY-wb z=)2B(cSfT|#2qB=ApYE9Y=!w5ButBn4R+bf6kDr4Q1}2ebojdpe1wE)7Ov4iODfYt z47G5M2$_y$95YQbJ@i-U^fH(i7u#pQ>Q5aa9E>e)XSgOoy3E+{Q$}!&elG0&7WU%r zJWTOZ`OfH5cTU6|yuY}0N_wQ<^6!%hUvApY+3jQ+aR&#fvvpVzt+ARCcTm*BE$bAU z?GxrB0&6>p%3^QWTxueeGC%AtdUl*17};s~3EXFK!5vW0Ov`qY<9ys$5&+TBDn0z> zP-UCXDe*PUfx!6)@KX4hxc#GZ!vjhu-pk+_4^*OKZruT321N~|qLOAT_% zI)p@7A2l#iqhmd>xkNs;Q8mEZI)SLgvigu1ylsbF2demD>b^-^<8;M zA=h#)FC;QPN2cY>VOO8`MeI{;4UMEm&$>2Ae7;W4=7v<_Gus#}rB(GF>!i9;90A1< zj8$t#rPS0P5yCy;rDFYZ2V(+H{1G(b_MiA-ow$Se#)J}CfGj{3APdx{1t5;Vw*hho zM@0m@pBnM0_r9H$y03CBY9_s_GZ1%>I9^X#mrLA1;tmpb5aIzSjsP23{PC3r8MXVE za6JdIFhGp!VrpJhnyLLE;V)caXS)#2qB=AaMs_2Enw*uoMW7!LYffk72A{gGKWcDwXx67cj>W z-2T@w=MQIGT!OEk1ib)p2f-MW$O2>mvH)42RxChz0pMn_z}m{>X4e`6V`rmaJqtdy zK;a54x79@MB9503FO8iQqy`Aw6!%o5_Qg91A$~7fCNGC%fYj|F?1Li#e(9uj`p}sEL3~sw47X zH&7kjF8O|9)NQ#g;Y#X>Z9b(Bt({k{{OQJzXCCT8!#$2%l>#YZU)8>4)$&-1$WqwnKhL0f*aX>q*Aq1zo6 zw{i*Z*WHT!rf})wS8ksje);^dS5Llkw)?m1Pfc5Q^k9M0OpgNpB@d3qymz7dPn+An zqdTupP4CwyBx2LBR(Jh|P5EF$M9<$|SpMGo9z{t?U%l12<--T9)Q7ph@wxq~oR=*p zZ1lHv47nP&#bSE9j=z8CBV%rbDu&)rjodpY;DzvaULj3rv+up@9Y%K;>9}=!W0wi1 zlf61$xqCeJ{oe-LFUgwl>H_T}>t+x3-v6bIfpIdeLZ4qo3(SXNf^TLTn~UMw^RhyFfog{i8I!0GaR=3#FD+OcW;|yX zRH9LNzrS(?bLcmTu}g>Bdw=;>{CslqN*)P_uihCOe%zxfGH4$t@Cjt$S0^KVNv2Sl z@SIGuDj;CQ9Tb`^?0L+Zcs5kCd|T4jvNw0}q(9;gR#9s-tX8jJbtWp^_fR1_Y^OX8LEeEFMz+aP~l;CpA*jeic zI6fGpqvoq{6iy9SAbWD76e84B>djMtpYMdkcD&!jltUFt?WAo5n5$aOO$A zQppsJsW;Ux(KT|9@%k4Z?VPe;fc?JG4`Y^G$7+c&FNo6JcihM0E?*y?hxK=2uS{z? zK{2rBu6=V0-rU-A&3B^SNG9%}w+Q|xCTl-4+`$X;I<>I+c(f#1XV< zfZV}8^Iw@Q4M={~!fhaX@8kEnKlrd!G;s%sI|!X4cmi;29_dW~cs_87BcM0}iX)&n z0=`wnA72eTj=;ZR#Sv81PE#BKR3ofP8IV!n^-tmmoczL9{XFHe0?$Wr1Ym@xld6g% z*zfhlkY;(?e}3+#PD6?>Tyhz+zVA9gkV$a_6i1+tDbogL=s1*W2**-It*8q0dqNNp zW1pKJ#VXlsnVyr0GFV`axPXIb26_~NGIA(!1WE{UQ?rniLGSSd_Mqqz6VqHYcWR_s zrDEm!v`CnBc@*Ospw1Wxt3lq;W8htLxHelyaRfR&P6lhT1DKKG2wbqfVMv9AcUgTi zq!(N?34kX@nFPSE7k?J?%{y(|E-7)AZkn)X(Dj_+#^?s&KrTyo@ox~U%MNYmo2xtD ztN8ZrqNxG-$0&}#GBk8S_|XXa@kv`pxP2EJ9A=r`t8kvPSV6sGq5GU5-iXd%#J&6a z58t=oaQogzoP0;btsdJ9_L+?h`^zN*Q&4QWUq&a>{YpKTbh$}fQm@A|Z=Uua?p5UU zsK*eY*`hcC&UpB)fUy&F_`1I^yFJAbn4fiXRjjF6QXByngc1UR6+N^%Tog%Xh;1l~ z?}%cvWDr2YHTtdY6i3h{Bs7I@endXD zQ8f(@#Sy5L30juzugX&QN~>LKyrkeG$|#P2;s~^(QmXXs!lPTxuTmTVn5%qTA@pIb z3|3O3UFFuMcAsMY1_|A?`idi%pSb;_bHf8lCqJLx@AbY1zPpBc0g594BTym>kOjyB zWPw_>0K^eI-vHwXTvMYC+Qxm?Bho%CMBB0SwNHAq-b8T(6h}aD1UMLNRzwI3Jfe_i z5%O$LPUaog^X%A?AxY7Mp*}L?*|#n;&%LQl;h!YD8G*94}gs4 z5u|#;H3Bxa9LIaAtZ1;cK|Ld*axAchRVpKMSotVci!;6@f)Y@dGJut-f}>?t?_?p`T<^joP$!ZxBBejEGyPBn(QA-RruNkY1pyl2qCJ@do-|*9(~63#@m1F{Wqo zi<$V&LC^~@nkHWO?tl_mfGj{3APbNMp0))@FCbyzKNSp!D&X%J7&{vU>sj!rmE^+E zrFg3bwy7oA@K*-=Oluk_t(EAZ94pbm68=?7@?c30%ehce1v#55RdKHl%Hq)qD4~Rr zDm9>rOk2iq+i_XXom&NG&~cnStN_9wuCR>jsCdM|*QWd@4#?s_EA}98JFeqEhCja! zAKZBY;Zqpc#q)H6ETB$sprbovVSpFcdqc+aVZzQzU&z8>F0RKx76x^3y+Mz^5qFTd zgQOQAe+7ODQ-k+cX|n?w7I&~JT_14=`Kt6E>ILS*;pRAkIK%mS{q1IXVbvt=AQ<84 zq^h`sPJ?6v{9fvl`mfBuKV*09hjyEvc|!m=5qFTdgTx(-XH}Ud)S;~d2eE@69S`A0 zfjj?DGOh?R7|P07#TYg-MyASCvRbCKnTlvqo{7s;<|Iyp9}lj=`CR&|6ne0`VmuqE z&dpFqsK?pbao-Fj5{kT!K2DBQV+$cM#`S zf9hzj?1Ei9G;F8|8b;i~#DH#z7B_cYdTWWN^{m^Mghmu!AN<&~N%0?ncGtr;F0$p> zi}(gXHDBvuCrw!HR_wUUYHG=XZH?Z(ch~ustLskqI;~+=9dKMHd#(41p-t46PvyP1 z(=5T5@Nv+c>raNA{8{Ba&?cnwp|ck+ z|8S7FgPaF+QYv(M=su-spo=s}ovkx7_;OoGBu-cc65}lAyIEYhSXnN|&$dQZ-$p2h zm|RSZOSy7fm&<&@n@|wCW?W)i%N2~1X%+f>bIFe0qP|}Fuf|TIx!hPNXa4H)<>K{f zjk(;X;&LvPG2P~Z51^p=65T}wA#zG?LVBCahWUs(xQ?Q-*c&#Nnh2$Y*WQeao*l;- z8$Y4{B`&xF3Yx##O^$I)cw3;O7Om2=h{`sfQ{pR;xJk?jTQRdzZR;5#@RXVIQO&sS+tSv@M4RXuM z0p{vFt(>im&~ode)l}8mts;ULSt}b0-AnxE6L(P0=7wO+Kx9;*+<-9Y1xPOdx@XR%R8c)hFCb|4#6Feu z0_N#Gc{Lbu2lF{qN%cNeI#FtPy`Cf{|Lb}Ia~#3KdtWs_uKWGy%!iJinLGH)6R)(PA+ydCckropf2-mS zPP}%1QKHpq-?v;AFZ-ftjNJ#D2h0+XNyHr_?jUgoi92Y^c!EM~FDTH^A!A}1mk|$v zfm25J3u`GVn20;5SFk!;t3F_h1HjC+g-;axGR?v@8l^%m(*u`6 zh|P$Q=~%`wlg*OB{pzpM>18T8-ZaL~gwIVACUOrc`?(S#9E^9rGq~@v`@)BM;9X;K zVehxF*L+{5-mE3(G%bAUMboM0FeWOh<@(LCz-EElvzwevpB}nJy7{|tN}-pF!e_f~ z4+bX3w`|cOG<9vz#(RrWx9;=HyYXtP_CH>^`Y>s2Ko(3blH?J2JK1wh?`i9rkG#L+ z%VAk@`77>b5_iy4v)Y3dg_&Czd*JSA)GAh`N75hTUa{_Qzm2iB9EL2+-@@4Nzm^#$ zAOpGxoI~gVHT4W+q0%s}<)F-_FA=;4O60$U8fGRq6L*l6>p7Q+#(v-}g8w~}+`&#^ zEuJ6P$8rqC5!4h%5ZG|IgA_+V+`)?T@)CDY&@e_(96{AuSK>ghtb>OpY?LK`ghsT4;*aRh(Yr&1h& zQVA~=B^tXrMA^dk&4p~%3)~3zyY+GZ%wsq_MchF!0wuBlS%54+7N}JVKpa6}1LO{_ zjwyBYy5TtM)<(Buxi+i5-*>gN7wK|Im;01;x&4abtPS$1VRhK?BkrJ?*VPX^8;*)% zpBD$*aTpbsL-}>&fdxQDDTOe^Dh$kfz&4Zt2-}G}NZdgQR+#RP1t1)*_l7JCy<_F- z3t6Z%xE=>ts8qO~23gSV;CfDl{bOMrD;_4?j~UO0dKBD_za;T^7{uqk7lmx7CBkb$ z|BYqwx-jE*p%M$rs6fE$#f;~{jAih9CQ}^2{~C92eQfvcy`MkuFVYJvkf0YJ?jRV05?O#O zKo%ei)QSa2FF@QuZcGZtyKu~^6J#N3_P>7ojr0PlG3rq)ALT3zo1&w^`Mu)d6RQLc zU1svjSWWss#%)+VeE4M?3dOK>VW?9L%Q)1DF$1xsTm2X(^p*;@>eH0tVb&VIRlwCy5WTbs9S`Y=hb zk<#C_KvmqqpJNvXM6J0ScWc&Gb^>F)^%d3nivp2_xP!zUB<>(_2Z=if&6R}(wnjma zWrsHO&DEXnReXDQ(bRzaW9rS97Ay`kp0f)o(Wt!NU%7%g^qa)krNiyLzkCaa;g12# zrVkzoh_Bum8(?>3gRlbdY8A*#CYIADP)O(nGSZi13YCel#5Ai=93fzMA_OnR!*}st zLfpZmuVruU;%{ABFiz*voC9-)&2O`O#IBR;vR#tyum9R-%kjh`ryd072O1MH{gT4{ zz0Sv|&Xm7zaHJ?re!gIZ32R2&K`k=vLIgMOFsxR1wOzCJz=>+i%~nbvfIVqni*`{owBxwYq-??xBeEot(kFf{PwD}C;4 zD(qTv&TaLt)zwCnXEU|}_D{mJsKPI3SneJXcaXS)Ay%8Mr`#yH)!j01a){M5-PKPU zW!QEYdTPRH;}`o*6`mO;u4fj|Xj$NU2uS$5jj`rvfz`ED#2pkt{ak^&n4ykPk4w|2 zwfZ=jR-w-~qgZWPWLOISL(jXfLdoj91IBgh(l%u%o5gBb;6rvU{xh7!8uDK9Dho^)T# z#d0^;R0-&+vx^Y~YHo%`Qln>mSjB9DFK}6ImwMtIqr@aR;;XBEM=^O>PEqEWQs|8;4REc`0v(3_UfFJOKz z;I`?_MX{}#E)(koh&zaHPAHKD$O2>mvOsNEfb;^y9W)P!;6Ms-2eZ_@(&E)PEQRv> zL>;Tur3_$Ys^BP@O2Iyb;bqIvu2qdMSK@WS@E0E{FT~SFv01V_rCt~H7n(zdxr9h+wl7D$`=LD$}$;+(FU{XeDy+gt-!#LUw zKl+D`?-|z%l;aN0_d3`tH6rF7z8A2!NUn-Im|PqE!vFGfin)U`@(OO6;7JQq+$_SO zvPB0vCJuVt%X!DzQz>^{`<=_)zDpnwB0zFY#+}xe-YQ|!vhH{DYq{HR-uMBJ|8SFS z8+&zWaF|Qjh2~KU6BlY{b=a`F@ci;u-VfRoy&=@af7$hxJ8kzz`ep*eCf3l9h3J)e)P$f z;9u9DX)SHE;q8S9HaGT;-E7(EkHaN@X0&_${Kjz+y1%tuDs`cg+}O@rmEw7YZHo3oNtN8V`=@^Y;9EgGaQVEuW%j+fr${@79+~JGq3b z|MkIx{3~aR##)yajeGagJH~U1?nVsz@zu%FW475R))cbBoF4TUA~aU0gq$_;Y^Y}WHsTJNe>MLFD&6>=nilh*{@YDbEgyinHE0vqRCy% zVN9pCT)$aH+(F_FVnwvZj$v*qiNuMoG8LeHu^`Vfm)i*C%=mpvncaXS)#2qB=;NP1xk@Nzj7Z3)+ zNiXm>#WvM5vS^+{nx~MP!4i>j_6A$2(Y5j%u(dlJUDf=rG*2OLEkZ~yP*Fh<#cGu5 z{9K3y#rkS(aF-f`>$<-37{=;-D(MC4PcLAOBRKZwsdMR08>7T}0pbqAyEaN>0kQyD zfGkie79hOMHqQrNMJ@^J z!!?V<9R%|{l~gl#@Qpv-`0CM}$w4O$tba#0Ww=B5YrE6}%89sx#2sX{A;cZzA%zdY zySekvB;$&2nTN7+RxyUnjFG7_m8_O&ZKficcwOQ$l{twM!85uF=X2?=Qs@Eys2I;i zs&g~cKxnbG7GNIE-z8PLB{56MqqYOh`Q>W)3prXf7Qm z(<=1&wl3UBp;$f?6MQq%*jx;+xvbD$pfaLE#w02f50hP&6$)!9D&(50&QxUON95`C zYLzZr4pZ&w7|(zRwOR>8^C0hv3#Q8k&0*kkB6B#4sFg6tm7~ste`kF8f9I<}{W0T# z8~|qn+#9Y$*_By%mvJr)=>dyBnzP-C>YC!%m_2x?p7Ka(n*#(toRNn8eT)`arO=9fQ;r8BNz7?ag zxSw~Zq=bY5OU8zuVpzgBR)D(MTJ?bfpFkEUU5xZ4nL=g4b27~;6c6D~gxt({yzTIb zDZb#SiNE#K(O%ia9rTq-3V7&Zl?pEx$%xY@Mf^Ci7zIWI8m#gbe_&Tj&RvmC$Cwr~; zilI%^mrv!rxa5}ZZl0ItgB$v;v)-N2sL`sy`kT2O2k!s4d=-;OCh>P4wYrQQaR=iI z=GM|fVC+%=XPyKVfw+Uv>gW*Y)*0NlxhWy;pk3D7ua@OlJsPmqpt!mZJJ|6L?Xyxal=bhR8#tTEP`boN6|21~xh7-qD zUD&Xr)2)!6{Z=1xTk`phL66?cY1XG%f-&LapgY%}3_JO=%6p(qNasUmFJAuP;Qhs| zQ_>^-mVcjA_;S;B&Tc2uy85*9C+?t`Zb#ff5m~zo#%;Dwn2)G~>nJK)4ktJfN|_&a z7d<;pk2FF2tR3!P+QxQGY~Ig`p*Vt?;s`<;4tJ2^2&y8-z>hVhG7{mNtQKc^;(PDj zeHBVp=N&MvTbH&eL)k1=%c|t8ceqZ+=4L1rtS-f@!b>Sb*;T2t<>gNxzw$6RNsWG$ zl1-@b(R*xD-b~aRd}cP#*V}l&cIGq)?5<=fl>}NNV(~ z+z8ihXa(O_tJF^-?jXexj7s@8-Fqv8mDFfgPgPdvrr}_Q#;#|4J`7eV6SOSbUsb!) z*uwYCg>2>yx{dB|E3f6lML0quWi%3pZg#oE9Ry=gA`6fO$O2@6TCo7c5rj5C?qH{n z{g=#@WvsvB&N#hR;+yd#ASaNxgTx&q?w~ABsW&Skgaw#bQI^eWBVk{jmgQf@b5b{v z@~A%-GZ4XMD^#Y%XjP_ZfuCDk7zaZ~^8`{neB!*iDB#KDmqD5%=>r+Jp)Lf5&$wl* zn&bFo+^2?&x>+nA3mIqO#lCGSWR!K__I{ADEN;i|MlCHahw|%q{s72T>2E>qRZf39 zRaP|E+Mu41QMnIaVW=We$7*#c13$xZ098itdsuz?Ko$XU9?g7#c?)LV zdMn_Eoun72p)T4qAiY2b;tmpbkhp`y9VG7HQ;`3N$F^JyjMV5@d7z-qR5y4rFvjL= zkVuVIm9L|A$Jl6|!svuD$yoUjP|^#8&^(3zrh34GOWZ*n;{L=wmGlDUiTQZ%&Y<+z zy{^5x0Q)1o0OIKa21%6C_>$gvuC=cN~2SG1D+(EPuC9(in zfGj{3s0|B{UVylR=7C)t9PR`e2X`^yKu34T!T>L>_lAsvyqK`F(igG-O~Um!$il!g zt~coMH{uQwcaZb~q!$1`g{i^&tF+l28Wwl3DqSCO2l=Y>AL<3laR(>1^2u&9tLsLr zn)VjMB#pW{oU>nUA8iZR9-Fsq`Y=hbk<#C_0M8wqF}~oY374~AoQb;COYwc;@&g5h zAKyxedE>d@wx3$<3K6(TsQFlvai{gAw@TQwtoz;kTJH9nH-5n5Kip*7#$H_-9Oe>s zp?TE8#D&^f9X6~kJiq*v_k%V?ZwPhqUv|CaPTT#FJ{nhV=eJrt8fu@KH!Z&~#^+DV z*4>@k4et2nPz}#r=>kH!9X{tr#_inw>1FktKTEn^{C-z^$JrjM2TJ1K{wch4?G_8~ z$AMjEcF0}0%-uEU{jXZiN%d_y%PHDw@uGb!aSKl9U zZGPhFU9(FvqfYmI(=*;F(>grj@zM^=N55{5nLcdWAb)1q59?#vh@n%HTr4C8xLe_n z5yHk;bK=RWsAlP~yFC~k`@HZWVWe1;u?MjfytYG-9S}$ucavx;O%PELcaQ`1SxO|t z9W=uUi91N#LCet41>r{{?8hf<9pUy}Y;c%mdauHH&e(*Yq#C`h*Z$&C~wFy^5S3 z^%x?wSoni|*2J@+n&sP)zLveYi~rWPu(@+-&Vf0@=C@fsV%N!a*)B=<*MIG^<#^(e zQxAgk1C0rpeo5i}Ugu*}XKK2d$Aa!g7-k|?w7#5G!vYilnNb(!qY&H zc91$-XLdit9Tc$ypBe7p4UZ;s=9Qf3OK}7>#SwIDINU*sBOvaeg5n4$j)39_D30JM za#bmg;NJ+vi90BWk;~YwjjF+^)^5lPe;s13t3!Rl!niOKiX%wj?+e8dRExNUNRZEMWoKC!PwAX+k z);BBZZ_CD~h2iNa)2OzfD9{ND#2qB=phPRl1!SW_qLPe($beB0AEkk9YDqTymBBvK zng+IOC3+~wN_4P`wjN!K9UOjhi z6`Vn5o-dgE73hG#x1#?>AB^%Y%xD*3@_Jzzm1Zavz>IzwGnT<0hLb6dz$C@0+3^XY zDzF&GPeoCMPz8hdWo+(7Lq;>>tr*HL<1KRImvLWL$U^go=f&HDx1tSXyrp=a+T;#4 z`th5NBcj`WKze~^L@&^ZxP!zUB)tIX1xPPIdI8c4)XC(u)sJn_JcTq*AgD zf=9}PoCV|Zc`!1s=e&~+M*P*>_&oWm|51HY+U!mZi#u4AuCJ2riDQ28B!#~;Z|Xl3 zN(iQI|xR2I;mRjpbt~{FO}WTb5E!4k2v7n#6RZh-BSX^ ziMWHr9poo(CGH?`2Th)cX-wR~Ud6X}7flVwKSta^;tpnIcqRsPOSHJT>(X0GJgsNl zz9h7t_&VXorcH|f2(-H%wh_R|78cm8=?hskU+ZEgO<3+$?6}NoYRQ6ajo!X@*ZG&L z>rVJOtzlLja9k&Qt@nzdP1Ki9<-NG%mhNtzm*;~U`mVFyozbY#s=@l3xg7`Y|F|L| zagvmOM&X086U6m83Dcr_bexGw_dOhmGEq#7gO(=-f-E1wbN^dKH52D!2tVxr60yDxh|$}?mO<|ahI)~Vp1bzVEqHTl&o$qT zF0@R&6~V(t#XA7HFW7+WE(;xF7`;trlopB}nJy7{|t zO5p)T;j>-02LqGiTefHsnz}Y<wMHc911RW% zXM@DJiwZt7+`*}_7K?tdnfnRF5!4h%(7EAo2Puw#xP!zUtahgHGA342gM-xQQJG&; zXVcM(xmfPi0J`ezVj|UAHUy|TZiYruqi20sJ^`yPokuPz2g zYILj*(};jwU=(MAL~69Ed>yq5b0h8`aR`x>Q@wJ zZIDk5>lvR9V_3=rEz9;-5qA(w`vg)vZ)()~XY$L)+3Eusx1lb?hhN59fy2IWr5d~3d;hos~;?5S?u#t9073uOfdt<0HinqtZ2kZH9bTk?jXex;1sa`JKVv| z$9`||uFPjB=>?t(_2Z=jK+(Gech1!*r&^(2*Dv5F&LJfw3 z?G3h4qibCYeX7!7*;OM?tCRX6)?nFIBTuW73mINfS7~FglvbrSt&^)Ey+EgsE+L`9 zY?j0wG_e`WYi;UVFJR^le);ma1m$F9Td`h%xP$P{kP=ydEI<|@3)G4QNG||mbHwrb zALV$FUO+WQJ&NU-U=?{?q!-XCWJ>SBJZmV$gqtw0hsbprt$HM27A!he#gQa^6X~0t zvc4&8c9(|59jr>%N8CZ^sp0SV49MtHQCEe`I@C|01O}7fYX`uxFjXbDLn0~19UMO0 z{^4h1x3|Re5qFTc&_DmEmOEHdJZSzrP5aMI98b0#)ZFg<)+^ne1uP432Z=jK+`&kt zLO#mYfw+SvFGSoy-Fe~;PV$vX3`0%CwFTivBkac~Z5`qEU2JfeWqPl|d0Z2MyoHhk!eYPA=JaXzmaDJdMA=57@+~4bbjOt8HS2Owff)%E#Dd7L{jTaMlkW;iG z-l{#^Zj5cf#5fA{!pJsjE(s0xc3(HI8l_3DZXH?>24X?nd;7@W1jI*AO3V`db8QLJ?5V> zti8~!E!G_rYh#v(Z@zdL_lc9SO97l2t0i#<6{>6<-u=$NLM*#4QEZkBZam&KCKvX8 z3wzD?W$MjZx-Zkhr(QIjdJbc{<(BI=%L1DPZqIH)+`*Dt-7NzrhgeP1UH!CChHZzT zrzV^>ezEUV;hACL%5DMAf`z}Sy5kLHtT~cCJkk{aq$Xx*dnkf_9usi~%TWU3WLkwj z-|YE1dP^j(oSnc@<#~d{9R$1hN+fQ)dEf)Xtjv0WmtJ&WlKaNHQ5-={aRgl(4tJ2^ z2&z(o)fvAHln8OX+RGBlPNz3THZW;IH6elDxhj-YD; zut`6tym)l3%F0!??dE2HBlLQ+n{ap)G%N=ZMr~kip3Z?|UEYqfJys8t#J~MhcmYB?v>x9KdWXsgAGBG#{X;aXfjhtG813|`jZp!0T@gD!(& zozqkEtpbZPUOY0qjn|X6$ErOK7w<|B`7>hcyf2eIZ>Mj)eB_?Y#$uD+{ngHe^2gn$ zwef#<;*NgnFKO4hw%H&4$8T?Za4B{F8;j1SKdg~EnEQ%vM1M{ZBg)or|VudCY# zn?qNV8flV^>u0xFbG+5aAy-cB{C)LLLw=Z_xO&&@lFX>nec$wqcgnO5k9fSa1M|_Z z+he8=+cwCb8TP~am^NZk%p?~Ji2>?FIAlb7)j*0#hu!VLc_S$XeK;dUs0?GTV-Y$W zr`94Wh;gq#mcD^ z-Q0!3t{9azl$EoJF>GdxOqHo*wM=XC)tQVaE>rn>CxXW`vuIrUs}y>;4T|w>q#AnY z5$bWacHF-VzESQXk--04Vwz}VS{;kG3!n{bCSx0*(`$hMWwHa9QR-ou*Bpy8j^@%P z5{|74cTy;p55co3bI6$)!9D&(50&QxUOM{svg7cPgX zc6E$rK!jSYWM!%#?}`hi%Z5Hg;Bz8#IE!izjI`yb@!uI={@?j(D^r!{uFWBa9haas zl_Rlyc1~F^zZkA97pr`Hex3-pgs$r&)qA;p3n?*PjeK`LoJTCN~*2PHdvMAmVW=dkio(5p>@j#{)z55GB7 z*=9r5#2vI!J52s|_Bxj02x^KW=-zO+gA_+V+`)?T@)CE@JS#472dkcATM(!bcd(qA zQLK3-?jUgotA2Q@XJip~P-=9pC;5IXs}G6M>oq9hr#ONveMn?{j!es$!>$?-ah-%! zhgy#aCuwcjj0FnY>jr|Zk@Cp>P^?)r}Zv%LR0*g+=Ag#VO4 zw$gEYD?O-Nb3w4j0_O^8SD43uzI7CAk%RtK38hd4%feBtBnyr=ZOi1&pn;O8jHNgN ziX)&n0*WKxq=Vjr`8kRyj)39_B$6Cxbp&A{{x};88TBWaa2+$n5s3M~6i2{U3seIC z2XO@D<|!;#9ud*_i))dj7kEbW0%61*B<>(_2Z=jK+(F_F5_gcegTx&qy?|P$CcQwV z2@@;L=WJuJlvbrStuq+~)Kmtg$L{qs93E9}{#R>*yVMw5Uzbwt+^?h;P%7(7FJR^l z{;;TMerb_aC$V0DxP$P*g%VkSEI<|@3)G4QNH0Ko0gjV}@z)4H=>%Cooggr&J7gTe z!2P)18?p^#OxRiJ3t8Z<;CdWn@%UOAtRsgD6Yg(N<8L%iAU1F@6YO4FA&zSxPw*c`baOpH+BD^USK{PZjK|6X0*C-W5&)#*mT-kyj&G` z5OH{v{+$x9J^6d93{%qCc{*Tv-42`}}EhyYSsnp%+-v2E)yrgGDj&1Lm&LR81-1&R( zm!E&8^d5WjhgQ>fTzE0%=h;)A*L8p8<25s4A51!_bNa1O>n(eAJ2z$|j=nZk_Up&X zelBXZ9iKd<~^e5;S| z(Dye5Cv3HdfA5vu)5lsD^tF%t-O~N{NhSWd14@_ZLJD3wbK~UAt!+B_t4`VL+9*nf z_uIJd!K$8bUX2}f_^gLV>07_`-8588BqHu0aR-SzC}D^@h}dUL@dZbDI$%4Km!EXZ zGd}9WpAJoLHv6{6{8NUt7rM2rh*!klyqG0o--7GnlwGikxPy`c9=b@}LE;YDF_xjB z{SsXx_ZW#gXmS;dO_T{>h)^*m7dCziq(tF8TKrVL3u<`U&S_fs)QcwXG>0+yJqXc3yaHfZC$MX6i&`Q_bswN?8c zuUvhYv^F3MUhyS)MBYyJT+@5ny5=MAFZpsT5B2h2ymZ@`yLN%=b4QL@ z*N(V@j6HDoG-?&lpmlKTYv5NO2HOkoQK7adbQ(2qFPVT0KoetU&bkM*L}wrim4 zLE;XEa9B#{7}y(ZrAF7v9fR7HslTM)Bg%+7m@=lEbFb7-qBsJIBN&xZW%C!GuY)PP z5MfH(!Qla=lSd@ovH3Rg7Z2165O)xaK#43s79b0d1!~m-5J%9X0dfa_7#i8Eag)Lx zr;lFQyCN*W`fTaH4iR?{=YGS4dVgU!370WrBqVNY%74O$6Q|$z60PGnl|R1@AKY<) z@QFHqERW}*ID#y7ue5k|o>tDLMTVs$>R7EVWdO`F8XP54DcGkVJGTt&x-#t8wa%T6 ztXf+1uhESuFv<|*}gS{9DW2JQ*b(uM$|TGWlh!CVe2|HVi9);WKU- zt0oRZ<95ty$U?P>g=Gv)!M<%OWFai2A1q^8+>YOk)f1OP`E@*h0AxImFvKccBTO^P z;opSqQ)NYitqtlK89n3kDi1_ zjtoQGCM@HFB0NM`@iAi=d@v@b&89d4bj-RM9iQMMz+#*qLs12q7tI>PFQXqD&2Ph7 zF_d4%Tja(sWBIO-1wV%875rEmSQh-4;P>zr^?{7H6PN$PI08JanJ>^G?5nXh(c^NH0Ko0jLI~7tqo?g)~oL1LLHo&Fxz`ELG2rT@(7z zF@em2jK?(@cUoV1tAtIreEzg--QBs};Erz&)d1ZJ zZ!>WRi8}~zB2*ha0a=p(0cVq-n6_Y$&ZRjA<_w$PX8DL+C)Z`WB;8;Cwa=F0iAPR7 z2+j{QCS>|0h5LJ*k5QeeiMcrW`GOTDtQm0!wR#1s3lW5@Iz)Q42j7kFR4_4)T3+SP ztNP8zs!32v=&}Nuj33)HJ9 ze8m)BFxPaqo;u&o*rfo@JjquonW8cA?T9Xmo=@pk zm*h`>NpiY-W^~&X&*jH*M$ab~3H2Pdon*tIgI^Zj{{WZW%Z^#A=%E>Zgq|Y+ zHQ}`Ji+!gG&kPfLJqrk?vcNbiNO(gTYwi~^GoK^Ht5B*tA-@R{Kb-Z^))vG1E-%%(Vkn&JpvY&hIOiX$NIpn~EEs*w(g zG_Fkz4pO5>WeQZC;UUF3SNCcJw{>8r*Jzv)%W->C12w}vJgkm z#Ea3uDkZW2S%54+79a~ebqhco!HW%$J17espL8(F;?vFjR)rqv8SdaR#jzQ2yolpv z5{BT|Jkpu|F~_T4QJl3wJ~gZ|td6*Y#2qw^5}SMO72^u{o;=7Vh&wn2qOr6R9sJe9 ze+r38k_~I*ub#5MqBw%T0$dfuJJ?ymc&KNYJ9yYm7n`{}a4G2po)Nu3FX9docaZb~ zq!%FWAaMtYJ4oC?wK74=vi((vC#YRX2|o-g(qs{L5GOaIc?zr5V3A(HjO*mpU<^u+ z-Rns~LEJ&&4pw;USY9t+<__NVR!e6t?=eTL7a;B+zVV?%79b0d1;_%mVFA($5OfVrXh9DklPD!=Fd1bAzlHPyIPo3MCuj*7 zSs?(O;CLTTd7i>v4U0Qim9CGtgK+Edcl>`iPhmOk;Me1H@f+?wUW(_lw-_dA)Yajf z{c`(g+eNmvHgDVXVUl1YrN3)|GVb6_6E0`LI1?^s<#VyWpBsL(eU6*^rI<@yd`Dl> z53Eb*U>EQsJABTMjN7^U)642Pf0lH;`2DW-jh50u2e{Zn}9+AS8|j|02T?2x-~ znY(My`(L%3lj_@amQ%FV;zbebSG;g7uAjqax^D(A>u}I{yURhBL9x#1srgoc#ThRi z8Q#Y0$=hSqo`;KfrHA|(v31^;$)2~t@=R*18?$g@%zdLb9zx9{2 zYhByy5C7x0H$J%Z-2OKf6=gj9HhKAS-#ZcEYrU_nT~wUBc3xKVNK%%1hnP2Cgs`IL?qm!&38oIHAbreo@h(H2?7Ute2zug~GH z`e(2y4)Wm0?diF?jhF6qbsJ%G=xS0UO|o(Q>^5tTw;DO*%E_I-uf9Lz+Wf@TyJnYU zMxE~arf0lUrgeD4;+Y9EAsl%I*-|Pv2qz}R#MtNNN3lva zTc&4`al&AMIpP8iBJL_zLJlR4KnVd)Wd=_XcM$C9(qE;}!);KEXCrwkjjbIT7A0VE zAjg>DF-#}BE5sd4BJLp1{nJU978Tuj8R^=@4*MRu z?PlVigFp-f;vY5!{?#8%+(F_FDpc7Vb&|M)tETU0^}w*ZC}GIa2Of6B9jvJzc^Dcg zb3f9Cr}Q&SK!#eaM2NI?59lSHfh<5o8P{^1BaK=G$Z3IEiv6Q6;T41{wtbKoC#6EC z7w~_B)Y&@heOhDxG`E#R;=~n#V&$QJv9esQAIp{72<6Q1KbLZlK(5PWKH*I$XzrJ} zmMciyLHKbevW}ZPhn0`Q+Y-Z$i&p93H-{?QY)FZ(MB>KZ8N7$Mn7I9;bHf8lC!ZSb z>-qM?s33|Xs40%1cf;WhQXBzs2Z=jaMv)m)PQxhDxDt0z3=1RfU@kjW4JyL&^+n9c zBJQA8smMU`p_8Gp)aX@N>sn`&%<_6yM}vpd*ttG02jJD5T0EZBM~~C9DxE^D(xIiA zIL?(=Ta1<(c)hZ>uM^(}PqCH3N@}#Lr|%cKX{i3t*wtZ^0^e7TJD410J?Z?PZ(EAv2#7m~ zZ%!zY1;_$q0kS}CSODS(dN)AsV8F5-7Q0?-+;1zJ8XaZh+xwLX>wh5bAaT5&GRKR! zgQ_v=Q7k`dNpS=<#tjg6km3j=lCjVVW4r(+{ISLfyx|i@_$iKn;{#J10bect!#IL+ zdI6UwxlxX9fbB-XcHz#K>L+PGO>(O;_H73&3vI|wgcD3Jxo0%QTQK&@DS z^a8{kB<^4x3Pm}*QP-7W$F6nmbY#`iq9>;lZyfD4V2Jh2O5<#0Fa(I3&|^4z6q_Z> zQ|gBb1O;IMfC#c|RvQWX^0X`*mksZ0IW&%lbJL&G21Kyg3YBRwT9s*9AicmSy;@UI z5Ag5SX`Vvj4%WF|pijf%4pyb>tK`QxN5uP>zvPepq2sHldwRy^DJ;hw-1e{ce3luS zUF2jb770~x2NPR>le9eq;ry(;szT$gYq^~5%x(uda0D_8z><$Y7Q^zkdV&knzQ{#cJ;?<9BscKxYo>y93r<}}lzz<F5@ z_V4J<>r>Nh`h@h|G_2KKzhP58*bve4w-=Vb_r6C_lG0Znd2adeK`ZrP?r(fPU`oyi z`<@&9Z5>0d#%=YP-mc^CANt6cTcZ_2Z>UD@ofGldEW7akJJ>q&cfb63YX^MrO3UGX zAM~Fw@}%*UZTlxj+P~>iVAS^tJ@IY-o9`$ihPF)K_EYoqtb@5a#k^h&5V($GL@{BX&s=>7ztk!J-ihG7&9ofi z4hB9aGKaIM^@MP~95wP4Kn{SjNl=?g*t&4{7m8STmvJr)=>-=} zrU`onUC$|Qj6M(rk(ed$pkZqi1X*@yL*HE8`Ci4h zcNa|!$Ummud}+brFylG9pc0MB`~8(Gm_xrwj9oh1-uuh9;^&h)6B3yrVW=Ks!~bTH zFpd?VZYB=gCs4=?T#~*dQ>aXYC8k-0;vxKru>Quw%b+(F_F3O|;KJ18-CI-}_LzUlu=YZ?wiTas@pErphNr7sGIl8dFEYtjDk1J5zNo<#m$-w( z9c1i*yJtcIBI&ONel>9i%Ml8(lV}F;jrA5G56hzwt1^H}7|%yX@dVN{ z7DrHyI~d@#+sS(C0|(LzJR^F62;vSBcaXS)#2qBP0O0AEbd@cx<29#LbHZV+kZGuVL9&L4=;|lK5M&l9G=hKVpvt&!C|nA(%-WH&mEjG z-b@}GXCm>OvFS0Qy<*w&9?wi5OIvjM~?sCv&P^@!$YQ9xqamI^BhPUy0^7dG@=i%aA=^=kcY@PRIvghse zt(TA7li65o(!0OfxlsPN`?NOx?@rv&Z~Z0hTGuxF!~gj0jSnt8xBrbrMHvsjO6rRrv_)3&*Vh)_>vQ<4{uykFgFHBLdwQ;J+#H+ zr~QX}6*)cXF+^ywfC(y@HSuhyX8AVa4hkAm;tmpbP$D@1A;K7N08N90X%?>00DD)a zSEyCO91jsP9m_aonr3?FuhQvdDmk|5j9(R~Qw^)tD_C8Ka4>NPM~+$7j<|!w9V|x^ zH1)Qy<7~NXO5qA)bB`Ft2u=3^nD+R}Wex^8rn&Jo|8xD7n;s}U4 zNZi3P>E4)5AzebulLU)2u1yUNQlm#@s!n``xX)TsAIGx#kQlvQgR~)cgN@YaT#pwc zOpNPhXe2dy*2jgsB#;tABI9#pTFxx4^?i>J1~o{b8jbhJ#lT37j`d+0UaroQshkZG zsnM$Pb-)Y}vP|gCq(z3MJpD?W3$ur4m5L0sgp;AM)aX_D3hM09DbL4pG* z!0I8`lcYETiX%{063%1Iz{kekU@JAcR<5VD``}jPSM924Zff^RL~cy*skYTLH+6C$ z!z=caYz&sts(O!gay7&qEZ;Q~ndjM^V4;C0a+llRv)>AKY<)@QLNHJf5c$WI?)wGKB7s zaRdYR<9ct%!gph5r7vVbM}_NgkOd(Qt~Y31F2xa090A1%_P18oSDKoN#X^P%!wkXE%ZG zn~Sfg1ie7+7ySs6!t#$l3SVY&AVaKj@?sR0;(xNA)6K@>tHDHMK%}Ts32vXtW*@bZSC{q-? znV=vnR5@K2huRTwZu)cDfCx5Qp)xH-t1?XsQ3{<#tz!k52l^SD-zy$IQ9^?9kW79V zWg~qc<2IC!`0&dpWf{jW<32THL530w%P2v?zHKUGL4MK?ma!~u$L~hj2`-27>v;YE z$ao$>k|JCq%~M#8h)SGPs3Tm3{IAwGrOl3NSlq#?bbXcl80Uz1AM=;|(LZ#2f{(x| z@eIyWSdKfmzu)YsA6~q70{x=BMM72F!36wwQufi(u!>Rj-!J}H~Wq*E| z;T|)h^#S*XFZ4PjFq~e7FIbatr}d?`O4zil``!Fn?)IBEe!$~D++^FvUR@d-<`QtQKc0E03k~-;a#5pNs))7j5&dCh9(gWKB+hsZv@Q*^s@;}4Hg95zPzUjP5>oe6wQ zSNq2&GP&81T|}sCG$hg_Y7335h&7TR6(p0Hn`D^H%misw%^)GEU8!1Yk0ogREiJ89 z(NYz!ol@Rfs#HT7ir4G^JTr60jU+zRX7lzrJ|}aRa~ID&_qpfZ^LxH$c8gyw`#cU0 z+IlfgO{dsIkfR~-iG4u@*Lmu$n{(F zpt#qHAG=)N7Q9q*@%IsF))7Hbn+LZn^Bp{S-KMB+w_jVfVvR>Zvdl*tePP*U$6~4r&4&W zS-G4!a$BJ97+QMZ#v%UwY1oqxk8c#ZGuEbn{L09n5m1P@gJ>#9#2w6jW6>Q=S#}H0 z2e-7Hrhhc8VZ&7ev?cQPeZKj)d=(Q2CYq`kzHl+FPj_c}BUaR;ji zu;2u0#2qZBX5?#Li91N#L6Mv_Xg!~ynO4cjYV0seU}Z5{s1rA+MbW2KaTSQnS^=o> zd@RKgP#gip5j=$;KTje~aRlX_yj90$5qGd2a0ewFgiVgT(s(@fCUbEF#2v&hCzQwn zWC5}OS)e8?0C5Dd^^iMQ*|^BW9VG4`G>+gdKyf>21JE8QFh{{TW?YVA(%~|08U^eq zR>zEjbj(-=8(NcyJ4oC?;tmpb5PSh9^a=HvlS**}|1|F4m13{Z?cdCpM|y#mL@&^T zxP!zUB<^4p-Qv8yEE=bfKY%0Y1uE?cCcVHgQ`b0g2Z=lQ=Q=)lK9=+Xq!%E)z@NKq zNH0KoftQCnczN2&lkJCJ?ZVd!5O>h<6_5Uq1;_$q0kS|1Sb+2b#2qvP;;@gM#wjH3 zAXEe54yuPGLKv_}<~1N)!#=083TQ1B?JMI*lD>)bP1US#N}bW8esKpY)AbQ|5d0^; z$EQO^1|mw?kXlz6Keab3=Ok`0iK!fSFh_sY^SAJJyKsMY7K1C}4h}YKMSotz0w(U@ zw71OU!Er|F)>-SW!-E~ths|rX<-|vdHk*?RoK|pHmf9c>b_BfF9`B1I<3HcG>xy#b zf6sNg^uyj@hZ!EL`v?+0ydHVCaJz-qE*!r39A)7r1>t0?|-&&{^K4)tq$KDlxF{*#>_b!)f#?Ea0f zOxYj*+2r<@mW)W5FlqE#5{D6Q#9Cx*`TCc6_aeUkDlVNJW-o3Ry*o{=DY|^Glk0Hn zBiE7}s#5eDXS7~>yyeJ&S5JNZ+v@uRf0;9A_1+ohBr#`tEbvTplvqVZJzgBjZ2fh2 zuc?D~_Vr^1|9fMv)_myHL}v?u4(dZBWGBdsm4SU)8PzNeRtJO8QCA8-0!D~M89R99 z!^1ybsn!AqgmE*r1yZ@TK#*a7O`*I3HsYYjjGa6uhLy3IA}x#b5C#j(B+%go(t1UKpujE|7Hk;PGVZzb;- z?s}qsyRZgn;rX+j@DYNoVZ535%yXL=Xo5GQb2@M^Zpgv8-v@U;>gY2(e)U*)z9+-W z$WO!_B<`R{A7VeUu^w+^4#8b2k)-$wdf}QE;xAH+oQ~M7rY94TH60=UX$_CKHc^noRzy^N4K>n zM(5iuYWyTWB;eH0h~GEocRF{$b#*zqFz@&ROPFD7xbYbTOtWVn*iTvJHkJ`k_;3Sb z!yErgjC-U?1y53uR;pBR`-l>0SjItO9O)rWq0x#IVl*q`TlKDkxQ+3_-vQ3)>2)y; z8DV(n%VF&ySZls6Q%Y*+y5vPpxn$h+OvZR!8f@Gq3UCkDP29oBx6a+^(jZ_`kY%3c z+OCG_HlYcp$Dh%Eaqx8h*};4tYytJ(!Vj()B)p){X-!7J>R`y`Mw=aQ_f$#+tI%q& z?o{25{2sP4wNk@QaCYlu0#O1l(ckv9B@{&&I|ItEs%DK;UR71jU@nVFLRD&c$4=2+HB(3KQd8 zuAHICWiD6(1!7V^JId#S#2DS3+?FT`#1ZtYhup!VtJ;sg}#>LjCO}u1oYoNS8~x+-lb45_gcegTx&q?x5)bi!WcPkWuiB3FosQb7~Nr9}5|U z-x-IdiGvlo7BP1pgQ{G+z-l_Qn4oK>WGs&z3)D>a$33a`mLYNKGcLndK|qZ96voi zw$qbYpEc{__Kl6tz@jEIUfuqV<$DiGcjpfjef)bt>D{7BkA~S7#rWKu-Qt(aK99o# zx8KfdcD(D%($Ix1og;g8vCRH9fAQm?rRRrSxp=JW;H4?M4sAT0x1spEJjeGua{U%P zDDJi5$1c~m1uxZH)Q(8AjtGj{Jh)|<@8HSnHbr&2{o1k>Ydi{)Wj@)_7nTj_yHXqG z_WozRCua?}>sI7v;}CQ$e!KV7w(Wmg?=52PjFt|)r5Jf&X4I!MZ6p8lT`S3NzB#iC zd#@YXe28yh+`^Hk^rvltpBxQd;GC=1hKHOy6j!oT7M0LEZRhpa<-(-a`&Zly9UVH- zVaM)9&g0Ldcyzd0cD(Mx+%b zuwqs^hL!XZDI_vh&9pL85sknmewo-YK;(&3XKKvnI|0ObW?GJOIkZl?62Lh&wuaM$ zBZ>iTW9Hj5R*BRa7BP{;9ej!hBJLo{H8CaT7S|BkE0ef`fSb!TK^Ge>%t-ef(S=BS-*g-Od?w>T`ZoN{jN z&W0b}D|5PWZNo_)$Fo3wRuJ(b$YyRBc4lmTlp`vfA6+tw`5l|dKrRY*qSOvuq zRQad@kHO%pgj-$Rx~xj3%#lN12|6z;9WZp-)zztBld)2tDx4tHy<10T1y-@tSqk;G zb##2LnFhUQ0+nqoK2qR#vml$fgQHHyj=gzF%JAa|8n<9nP=*p&fGj{3APbNMs@(z* zM-W#Jxr6U*_xox6>*H2`we!rtp#y?fjcDBL25|>Tms`!cT;dKAcaXS)yhs5#Le~`b)A)$RzI!Z-xf5i>P-1!9FAhwJ@93=|W5+%&9|sxB;_?W{h@r&kbjY|3 z?*C25xF2qaO70wc!!pEGa?4?|oL*qez+aYWiq8L+^a3x5UZ6K|2Z=jK+(F_Fz8GMS z`w;C~1qiC<#V%$fU{G{omPl=oQMlB#ri0(N(_2mcbhlvB&u>1>30m)gE@EAy+iRmjt7MNh)_sl*)&8CKrAlU{%~xF_iao{=7L z&9u7G3z*{wR_}Z@w?oGDv3$J%aR=e+2_>=sS%54+7N`*mkX`_K##rbhlNe}OW1#PB z6wGJ9uUa4%gp^yVf@MnROH)ISnp|L0n!VQUV!uh zrbjEjeAR1zl{%w${o)Q*rt2f_AXKBjp%<6~o15bZSkH(?J!4W%;r{H1I|x>&mQ)3I z@L?yP$){sl@1EGcnMmc9!ZiNZ@qrvQ2^Ak}(|>Pu`Ga$8YR3J}zRmaf&VH-+<3C(Q zJI7vI+%C*H?8l}t^9IdRPY>O+I{)Ibp=$y+$8HL7_FHnZ`R6v@M0=}TyqrF0`6$6| zM0Q?IelPF;HfYtwsqKLF3ldZ&1e1w7r~vL@X+`c}2jC7~5o)I={59_2^eVZ7T@DqU zUi;$QLG7R74knnmgVQT;2iL_-dmeYNACEgYlemLSQy%jqnokU5T+C#@iX1=U4gyRO zR9!+3ftiEMIG8Dg@glWUn`7f_fEhxu94N+VxtK=gV))_wX`ut4KtqR&iB~95t5iKL z6xN(q$VIM{NHcPxvb9=>e2x@@vh+khP_lHSm; zlU~hTZp1kfcTlZ`fjEOWA*%*iufd=$MWHGt#zAe;xSGVRX1vryD8;!Pn+8k+f4rBi zqF|eLARXn#OlKUpU7IwrhVA=7sU@IQ<+f+6`FqE;cR-1XVpdFvxwC2rzNzn+3wxdj z0LsZKBf`1oAeZR<`kP;D{e1G=-gXD?uJ5(zCN`x|kc*<*gU7u+%1rg~RhVDe|7u>7 z@zOrs_8y#-yI@DRwI@dB+b(MSBtInJ)X<3EH|KXccfoaaIl3@xWlqE$#GAB2BJLn@ z2eDExjxuOBAmB~~Xy(4kOpV$7$f3dDXsS4jlUNA@uyE!21M$XOu87~Ta4uI46npGb zvR(-kG?VpQ$`v%Ww#>V1@5OK2lq-o1E_1oDP|m6HQ^Yoxx!f*(Ip>PaWplv?P|$pe zFC};I{n>|GTQi>y>I0A|zs0NHEU-L?F1ZtTy9f4Gi zx<*2Mi;9BiT8pTNDaXfh(0K^;9qRIQs$^slcd%06ZLR3l`CM1~x`c&M96`B}>G?jD z;s_{?fWzI6DOXDjHx2%&z;Y$-piEX*aRg?)Ko5WGn6mdqe}>&tLck5$cea%ichL0h zhLGXV))xmqnAJo$Yl&D=od3}e=RR87gnbwO^CHmuOJMA5 zDQNalyRQZHz8nBQEU5c&)NIULEPz;$4JEbk7uEd|$QiJ`aT!JpXdn_m34bY$fCDRG zpZDvKv7Z+c0+YHx<^W4L-yJg7FO7|r9*{X`63)j%=6bbpJ{2<87mo8;&#WH{^9WwT zgzGWm{;*pVmt)Hex5tiRJT3}D@I3LHuumGx;(1}l*T1xPPI zdI8c4RO2|T#2qB=AaMtYJIJb8g_!k<)M(hhII1V<1!$Z?cC1o8YS{Bevn0KM(MIJ} z6!nHX82VvxVde%+F@Cb*^a8{k#E*EC$O2>mvH)42CM-aD0n!T?`p~g|p7a7N8>h%n zhNmVfaX75h=&)gfG^|=PtT)g>+Qow;moRICAK02*H{z(q7MY=$UXrsW6(&+j(tp;XI5c%+gzgEklQ7JVnC-Xo*gX4Q8nyLg!EhMICtR@kVaT!W9yiL<6-QdRS!gWf>D9ym~{UM`l z1KYMEAanAQo-mDNaXB6vr5`vQVw%VO`$NY4aFP`6903nwmWIHjV2Y?nHRccS<`;+)Dd@3Q;|Cu0^Gq% zz#UAke(vDL(|H_su=Sz`#l2Si*yZ}R;H8?2+7W5i5kXO#2e&Nq9Xxs6rl@YWUt6|f zjYmPU%;(Q?2bCia%#8YUx~=Fx-?ftb=9@FSu=l#5&4>6_;0|^VIe93qWT`AYp+(xx z>#;oUpaXFSi92Wj;@H?45P{$Y#6XTQ!($qo5rGB>4)FLi<#~L>9W=8QExe3PDfFbe zwA@QZVA!M>BQWgUEuRK{yR=Q4MdzG^o5%0(doycGBlLmTK*KCB!NLMzQgmcf54q-I z_?FUr1ylTUjw!cYp1Uwif5A5JoJ!%fX616`$ZdhXV`%At8;8pC>tIhNBp@~f8EexJ z!+>W?WYtoUEOkISym*aa)i#z9P~a2DTnmyB_7h1JMm`(k{!H;8(~gKch%E+ugPK0! ze28ipaR+V9Z_NUxStYf`^r~&FZ$Cig#J3Dgj6FvT1mYi{s~Eqkw234N@mN zK!^+XD#BQsKHVVtjFH3IL$H?fXo*uwj6PV`*64#L9`j6$S%3Y=RQDOB9&=9X3V-a} z=2;)i_s8aGtgrk;+(F_FVtpX)pn?@^|A)APIa$qoTdI4WqBw%*#S!$YKiolzBOvY| zaR;9&Ud!vSV$`Cs&~8F_S`(eUQ14NPv@$*y%dLt5rE87k#tGD1bq$4j&$_TmW#eqS z)b%yuYhH;vNZi2}Wn>X|khp_E#2qY0l;itU;tmpb@I`$paR=)GchJ$cU7y7NO4RuE zpNk_P?jZk@C{2?E$O2>mvOslO0OAPx)kE&!iHi@m>u--(_V8$9b=yx?PG`n%>rA>_ z(&ZY}C#WAL?jVeT%1I+kLmHaKE;LDLD2~7w5<+nVYT&KPfwHQG@D3HkO{opcRu-76 zNMzLzchK}i#h0&o&K;yU0u1nazT@M>J9t%J!Z-qavIz$5-g;q(|J_Ntyo`O}3%?8_ zy}(PN7wAviLE;V)caXS)#2qB=AaMuz5!|Nt(rPqHVva`3%8ebum5!-JdI8c4kY1p? zW{>mqB=VESJ|m~ygC41QGER%Djc7=8whH;eyWphNhscW?RTzRK4N5O)wQM2Rdw z79b0d1!}?qq!%FW;6In+MdK9GIEB>!k|gfn7=sD{$9&ZqvBTor&xOy#(P zaS4ia~eaeEdu zWe{T`T}T1U9}bGl*vWHZSQ(os(y|7?5EhupFJRB(t{O^+p~O)rfsaH?fVFDi-v;4C z=sljm8g9^V;tmpbkXL*9vDz%91o(=H<@t(lw-gAR3{?qs z!MGs@=YAjD{ivhQ@c7kZ-OCdiP1n-&qhoTn7!Rj+G#+lmh2$%Z&gF+(F_F+6HWtj~uh1?W(DJT0YS2 zD@Ynx{J_IDW7bzovMe9+dEedPoU-divSZoV(QTH$l5OW>a)^`RR_)X7oA$hZC#YM`)kjlrCzxibFsh<^IeXN5z965jK%D=xO?jX8A;tu|gaR)zn^Wgi+MH%@NNASEj zf&ul1J4kT^#2qB=AaMt!6h}aD1l1^+mutjI;tswjBa7k)D2{;Q2q=!g6gd#oKQ^hV zrU`~u01lbKR|&U@;9vL-FOrC~A{^4P<^rNvl}womvH)42CM*DP1Ow_J zcd%^Vlvp8ieOg&Yw=3uW)#2+~o2GwE+(F_F5_eFPEz@$i!RO<*h&xE!K_m@k0$q@} zgZS{om#ZF-Io&7D$3x~obvR$oxq}o(@Xz87I>tGT8olb{UZfXzN%R8o#2qB=AaMtY zJ4ku~(hHDYfVhK7S(2J%;|%YjwbSYNbS&70RSdscE9b!<2i9I^Db(B6W!EQkblT}` zgnF0SK8ec4f3>a4>q6W?;tmpb@FnLC9^KIKzw;FdU-9(<#2tk1ca+EiWC5}OS)fKN zKzae<4ia~;7J<_SSVX6lVaI;y@cPkJiwmBd8FZ^Sy!Sw>k_x;okTI)RwN}b%K;x^$ zaP}BBgY*IhKj;B}`Mj+iZd`zqu5NT!Z;bLkQgWarsLz}A9&GU!f^`AaR*2KxPRP`uFI}s)wQ!2 zENIxt{({{yyI7m~Ha6BDT1PO+u#nP=TEN5|oc303i4m7Gcbt*plqbEH`HrjSl24`g z-unFgD=TODhOFl(PRNd2oBn&N%O9L$Q#0;&_HDk;clKMoAOGPh+Bx>x;&x%qVLvvF znKx*jdV1)l)%h2f4P6tsId)Tsv)_`N%|EyKCfZx&;^p)~%SQ=zBeL^y@_Tvzw?V5e zPHhLYUyz_OaaTG5X>Pao#gXx!@7r}nIrG2gI$ioITEty?_#aIDhv`z?FZg8mz|WA?Wxo~3C!t{lB5vbNZ)b$h!*zW8yMyw-jn zP1w_O<7IWBOY3hU|G54By34P8^ZxvTw1zBg$TT%*VhlEaRzp}5Q z_|rW%+scRfwLPEQIDP-g&X2ma+kJNb##g57kN<3P`%6nkq`W(6^ji{#5pTp=WNi8R zmwERhzW*vNogHQ`ZWp~fO|B`re6N%1aO)%2k{gao(Qlm5dhPL+BL`kR_4#kBuMhn9 zoI$Ji&NwHDIg_!#Gtp6E6&dw-aVWF(*WJCQ4&K?$jlDYZX9^%jK=4ei5&y6 zbdl;zjRCcY`xw&Wbfq<7I|7tFTKn?Kaqm?QBhAUCw&~(GOG?dY!JQE zeR)D-<(1RfZ!Ef_Da&r*`QVne)AWy~HEg(QfVM>5zRx!w^B)0t-6xu^K5++)cL8w+ z@nedOWdxYxFg$DU1A^h1?;fdA!DCINg&1n?TT7Hk!!iyM;}@4Wg+?n<4D_*UX_ zOy6mOxQ!7w+`;mx5}mO&eY!EghUKvK5Uk}`{E1Uctp>AF<=0Z}zRXTL%Ah z_1eSaLjMeq*e82Lm!^2G?Vh)x>B#$wz8stppR>G7vfbuLxZlFXJI9m}chC%rwi7Gm zDy0HiIhyCUBfkgRb8!q%Ta0hZ4C9}!RLZb%WYraF>RHHKrD0skHI9r2F+r=X@bUL0 z)G%{P%26iOXrq+lQn4M^SDA@tV`GKYR1TnGNBG6WIKk-}npuW&{Q>7t5qrt|B+~=;(v@g_-*b@$@&wikrYSpyf}h^^@lr1aRkI2B<^5UaG#i`8rLQ| zd!gQ=qN)=fGO}7xAMK*FB zG>JJHEh`T)k*S7uNvkgkTYO=<1&e14=N~$V{WssB`#42xNS3FjT-hGQf{dVmJ!G6 zX;&7f0^s_;aQ>y@c=arZx6+BHgjE!uD-mynO!7e>DANq<&59K5VnhllTMfhAHwd9P z0_?u;2^o7uvD%>a7poCYhnVJ3cj6DZvT?sDj-Z@pB7X(-#4u2N7x+cp5LPg(K;0p8 zUGFcwze=4!aRmP??%?LkcQ1~;_hDz!3%n$Hfdt|X5_gcegTx&q?jUgoi91*;%AczH zwn#5PdI8c4kY0fF0;CsUtD!x@$vPnjNuaW=#m~lYyjhS1gLZGdFvS1vq>+a#T7Ulj zvgY_ni_;4bcMvT^i7Y@CAPbNMYQh4f7a+X=aR+O$;YZv-b9XN31DHWF{T^(_9r}y25R!%Ed zUcdFz*@v1Akse2{2({CP#&&u#>$7Hk+`h5#8CcY0#;e=kv3&1A>F)f2qK|(sD7{;B z>CrIzq8Oi>vs?Uf+2?V1;P%^j&5n1SUK+ZvrE_G@E|%Hf<}ZFcwDkOtD;JM-9lSK9 z%b}vvYc~{sm*@DNM{esy4~l!O__53NZNW=57quhOtRsS=HV7|i<~y{l>W3$@ROs#3!HQH+U_AI55<)%m8B=N zNZWb6-*RD6>-{TkhK>#$IdjMEM$Y5Uq5qUiv`d&Vk3^_S(M;8cY`^Z-}~ z9Wo}SkxHc2un4F`29Axh0mul2!kY66xyY3gX+}?e{cjD#iP4u*`lkbI?ad~*2X_e#$A4QWx}_^9hZ?wN%x zkLeT6hp3kAO#WK5V6Tbv*9I1MxSMr&=HNN4mkr;0YD1=T^8Jlpdv8BJ=;-MO?Q#P2 zNfO`WNWT^rdnwNH{VHr%E=_thcexR3M%+Qe00BV>ti}*(ph2G-3@;}5j)IACP@7go zXUnwN>Zd@jiBO6|Wdqi(0rE6$tfF9>b|8GlMk6N1f!lRIkwTQostvTQez0Z1YW@T_d5sMMd&q ztx+;#Sd~nfBL~Vho{odgL#Xdim#0JALEb&X^RW%9z^T?OBGYv5^0}_|I!mG6wqg~j zl@l?9nLs_Y)7c31F139UW&w9lbYhlBt-?FTwl1#=pXF*(1?IL^uFH^T+ey~C2Exi( zkF|0(CaNrhuM%#xT}e#0OnJX*rE?SN+tt;nROwTRJ6I36gZ(5e|Hzg$^u+EdE{=e> zgQhPi^g|XP3y=lK0@ZE-h$Bd>hulGj-ES}ZKJBNx|5zO!GGNiB-z~IJ>xetp*mU`^ zZ<^~H#%atL35m;^n0`UYV(5b=U9QnCXdCR4$Be>FY-mj)?x0}|anopNoEQNtV;J{4 z5q`O8b~=vFJK&$w`!kCdV#vr3z*{w_PpT{G>&b&4!@UjdI91Nf-xwO1;_$q0kS}i zSb+2b#2qwu>|*EeKZ@f;dI8c4RKviN7VTGt9s8xj>ql2DE_iZg(5>R|-UF>lNZ&;I zCU}_xpNf7JMKWj)6vd#>1~V?_pmW?b3TseAgSisDKvMnU4pye?BkmwvI(&~$hm1NX zywrb{JE*z5$o<3A^%=N7;tqllswY*!9XzlzEaF~H$A`>0pRZH2m-c_uR`w&ua3b#D zOU4~^6bK@rwm3n44k;!LRtJNs5&bTT8yR5_rCQF|!SK{lkqmKizyV?05)HGd1JYsn z7*-vGg+h=mrGSmlr(%n)g!7aZm;=Q)-7F6&h+og279#E-7%>pC=*XrXa?Qo?Ev5SkrugR^Q*OIFcVU?R zf^FbAmBMSy%H__^ zy%ycXrWC#d;Un$fac_?@xM0|@eHHT3{#WywjFsC;1@( zr-nxSzB#|sxeKnV%h82lD{}%wtAMddWVKpw`hVsM9|pgJ?_Tg|5HRkMDwRws7HOqQ z1-FkVk%na)By5HVIFWG*jaH-(<5QjSea_|QHpT~k2RJA5qr^JKxFf?uUk+;z!CKCv zB~B?Z(mQo+jmDdJ%rh}&{q-YL-Di|~%sH(q{IPQzG#;KTW&yvZ^QUngKjlSExn$h+ zOvbot;tmpbu&)ws5rms!HI=s%2%xEKNQ{%1j|uD#@+@wR5Pz(`i}-W~kQAh*MI!>+FPj_c}BUPvc5)1bp!?#Sv7h5T`f-PBJft(L*SX zfZ_;@aTG!QW0U>_+m+%7D2|{))D7RKQXB!r5xl5Rr8t7RizApbX!q6&L;UYf3Uu;n z_U(hRllWPciz6WJpy_KA{g4I70%QTQK($){;s^%SL+;@3X(tcHcaM>dD)xOp>zni4 z+ur=+H0g3lms`!cT#6&0I0A|z07zuEKrIkMM3r115-1>N!n7Lxu>uWmtp?_BxfDvs z1gWql-LzzkVL7hV!aphOBNNt;zp7?`l{$ms2>w~z!GCSF3tzqV_(!A{cuDjEgNZvx z+(F_F5_eFnR%(ckDY zCFaP}m9iu?%f=}(l;Np~N^DA|Mu!a>q+!*XVZB+AqFszgA!YyWE1^}fjuGk|D(bRo zWxzF_CX4g}q!%E)z@K{p(>R6Y=#<1Atc-BWHPbNoQDs|;j}$oGEbRQ@^a67ZH=N(T z;DafAy#R3s(L$8S0%QTQ09l|WEI@hz=;LCce@kNM|1-bhmHE*QlZvz5&YP=$%4#9W8?f-$Qb{P3Fk2*#|6Wy zaXGfkaC;0a$2je3<#>@^fb;@?_u~+R^AmTF^a8cwuTp0Wu3y~2%5;6i9fZdeKE~1^ z|6T5&ZBgej{SRcXH9QtAk^~Jq*E=Gc zPOk9fZun=!9gGNy+B~>rnJ;k%i90AsjRru|C>wi(CVE2S{2@?P-AuP1 zap(61BvOF+%3k# z5qI#Gw$t>FrZsFx+(Gjf6~;OmD0$gxBQC5T)UG@5F~!Wc%bjJas@2W6(|62;JtGd2 zxPu5jB<|qIF&o;hn!2au1KqxYq=Cf`JZv*&eYGUZ@=@qmYp5l zX89}Gc0MMDI2o#JpLQp&y?gh)n2(1)Dp>j1=-FrYz5m+4YrcYxH-GIva?{CUtA5 z6!%%1an`cqv{A}&srU=+FMh#2P|)0Jbrol^;!#)<8fvi$Ex^qcndT!4d;|hlxF1Xj z>A7aTK;bvx=T3||)Rp20o)fA9KoLxL{l6A$LdVv4aE*XVmqCU zQ14RPH?G;`1w|)jiPWkfI1}3{K*Y5YXTtZX#2qB=AQ!$ihT;guDk18o(l9=gE2--^ z0yB58^W@zF?srLv#vU5t4w}B4&<|OFEI<|@3)GkeAdX;2J>(9`Li{e??=j?E&w`Cx zi<5^7*X?|J3ULRC<3(`rjd z#wsMn3FtjjDK#wD4~EX>38X~$#c_2}h9EIbqg*-yGA_e$xuI9gFpX7noM{@@DIsI{ z2bS*-8HX&yw(SVW*dd0?dqT#txEzm-;UhR5Vw%VO`$NY4a6<%h=Lo~hnumUeNx>9R z!2m0ra$0)DSYQ<^lSN~H9jmSh$OOd^K=c&F5nzR`@wg|7BhVONF`DN(KF&v=UqC(_G8*$ed^b#XSP@ALe=Ez%3nIE63Tw?*7Rq28(D{aY*j(*0R& zP%o|4&_QmmvlQxW>*z$dQF=))pg{~H-=~sZfb;?{>QiZ)!nzx$&>Tk)+HS|ALmi#x z;Wr0PFF@QuFa{;E09k-6Ko+PG3y@xbxP#^n5$s5L9Wr)`V8V`$E|9s75uEQ189P!i zVPmBSWUjLV=i?!B9X2>m+`)7h1z`-U4ialrYUM}+o1)Xouw%b;c>U6iYd; z4(46-Wn0FkFKf{1jEQOVlz6CB zs-DvQ!kY66xyY3gX+};|wpOcDXd=a8R-<7&{iBph8H|n===JPG8v|SsSnC0=@Gy){ zyr(C0`DH03z*jU<1&tfPxxL*|AV8WOCgKhnF!gAP#N6TXVY;T4Y0XW&h0`DUHf}ohAcD5BJEpy%C zu*7o8xw$(Vet56U>BhAUCw&~(GOG?dY!JQEeR)D-<(1RfZ!Ef_Da&r*`GB~CR{g+s zOW<=1*W@Mcpy4}-fNA#Z1N-Sag^gtdSnY5Fqfi%x!;E{RN(DGwkyffyaNk;@L>iWH zkQl$X#3?jd5wKE#3C8$Vz3U)uW8w}HcMvL;fUy%RcdBkjeh;O&@2HHm z>1~-|{L`U{fDd=8u256YLgqfcGA`wy%*KN-4g3v-Q6m2(G#|_aXGfV-V?g1llxi(; z2fcXkzn79bxS{*5jox3cd4u8zo)<^(X8qv~QXBzs2Z=lQl#uld%|qy5nuC4aRd}c@S>VmiX)&nf*^_`sM4oW90A1=Wh%nhNmVP@K!a1scR5IaRgXBdqT!uQEc;~5CW?wP7`+!Vw)t8 zGXx?*wm>G(!kko~5vTy?sG+#0f3ClxID&r~chLLa$)1uKw_Qmu@RH~Sh7xy>xP!zU zB<>(_2Wgx_uO3nvtMT$5*STYxVF~QtF$j%QNaGYfRbf4EEG-(Rkj5!|Uj5)}ut+aJ zdI8c4C^NLf{+w^+@X+|RU1eKwjFTGsYz)Vn1=-9U++cCiV%X)BLjQYO&!*+(9$OlJo-Q*nwh`HXs|u{Uf~q3Q9=d zWYluuKz8_II4O0;(E7z4tW4KO+(Faj`itWfmg5eNN=!U{Bk=vlc=?Gt2u7%$R0Vf1 z8sJ8M6g_G)@4yekPv(V}6}C;1bJQdpX>x7)@2xI>aE?vQxZl~g`99y-Z}ooshpTAk z*lUa1g*k`)*feI|pn2-)p_^9cUtBhHP2lF(O(D*HOKvv*+~%8TZI`1*lXE{PVoJrONmoal-06Myp_S9hmDg|mboQa9 zL!`&iD?;t`p|PEw%=)ZZAGdF8dUbEv}r2j#(^x6%@ z-{m>J=aJib(Szb%D}L;9eOvHS%|-2qG;87xK8F{hfJ-dmcH?P(lnPjzS5P>S6*mjS(Hgp!awJYd8ov(=^>ctxH96Otey=V8zR<^c_P>58OBeE)Gm*7$DgA0~He!R5$@+4Op;D(3l7qhi9l>MoQQxP{<5i67~~G z6~^0yaetH`_3=j~+4WO+-pBoIm zn{(`pgPJvpU8A#Q+HAGq0bzxuCPFEW8)$a_R#C8~9Srz@u^gBf2X5E>L<&(RtH#F% zaR-gY5eR^>CSYth>>qIlO?JtPoN~!{gU)0OU!Fo5Y}_Uaa1Yp>+4y|g)R67MZ70Ub zxF+s6*vhu^gFY#V&6_m~8BrKmbZ`EM9S420Z@t|z_@}Ga9wrz1XTZ=R$sW@^8TVP2WQ0REH9I6w>c8-w{Y>!F=e&^8|B0u#7jWjLEtW?E2EU-49(Vfky@(F zF?cTYeC@q>WJITOC6NhYE;km+nOVx^%OwKq*<5ZHznn9#t!rv7n+raGg3bbCD%Zk& z+9)=c4f7TV+_H>^{}D;xWfj>Xrf<*H7$s(+567@7nKDNXeLHw6RysGKzFl3N3jALUcMg1O ziyfzB6&e_;LxZP9+`)Rl9gMe*j@uuxDxDukK-|HnA5mzEEI<|@3y=k>*8&hnkWvr1 zgUaom6V~sU)7ZnI+uc(Q`?Q^YTlgt)2Z=jq6oz2mJoc)fJy64i10!O_<*2#EW!yB% zuuy@58T;fhn{~MqN1zy^9L1VOOXK>%z(R&`zZ2mX&4{Lxn5IFRBZz>E%h2S|D`uF+ zOM%_coT-&Cji$!({UPIJz_#rO$av{+c~8h#9+%^>@v`AGaR(`mz)(T3Lij<(N`eV1 zV*q5XV&VMjkg;-M!V1|1GFQQHzB^=Yd#qeNAme^9;e0$~uHxf-DrDS0CY;ZL%wIni z=Fxv(!u6POe^{Y$Im+U2dla_daZ$*I=UFZOisA_VY23j=*H3Ie7EB5wy}(PN7Z^s| zLE;V)chKBPUcRq_$Hby>3WGWZnW;yl7pSrmr>|5o8v7|oFF<+$(hC^7I-e&!;`!Ky zRSdscE1jSGabWFrmO{O4U3Ptf1F_TD2=y+teG>hoCIW3xbYhlBtwL93TbI{`^a52r zYT(k4ULZ%KW#vYZ4XXJ`FHlJ{4Zrf#DR=rNVpz>62wxs%M3{IDNY}8Hbxu9IK1i%lsg)xkoZq7T z%CKXKCQ&J4O$9Nv4NRSD^vNZ(ZLdVyi}i#u4Eu8+8b&{D(q_;ko9H9^@5 zQtMDg!bw#)2}*BRhB6awIZT%04leJqr^mKY2SyrXDHchBhMnv$*e$b*wV7{YWBs9Z z1d|L4DZQu#s^AVfuP7Y)rKD)Lh1&o!GoyZzd-6>B^S zl4U;H=nKn+^j)b9b9?_YyUAH^Ht1I5XX6lbEq=TA)VA$^TkkDm?u?cu+)|D_Ff;1Y z>9(T(eAi0yn{UqS!rtqKHXq`JQP>5RFW?YZhlR_+fD+`rM5Ar@uqpba1u$>M?W2OJRM z4jQmA#2vI@JfV^hcMxurK*+=$6bLeN_ZrDwx&$NHYi?w5l-*m&JBGWS=-)1^L0WkJ zY$tq(U~3q?%$|8}GXqToKy*$A4#o{RIQRSD?nfPchR3fS>(2LNu)bW#gM@|DK%$>ERRD>>sgq(y<_qpkzFXBM_RrcXE@qFT0-xPu(GPxB|JbU%R1ms1Th z_8c(~h=2Gn@T-J%sbYycIB}wnP%v3#td>0oxkT^R-~3|h=ac95wmW!teXm6~`FFv= zD8XEEY=KN0QF1W5P z_mt<~7{ndK#!H1n+`;<`TMbK#_FeWva{il5+B&(OO6}y`)-T9%o7Lo7=k9cA5HKmo zGEZ}DSHpCh(1g?D&*;B6csl>=V7?EwfQF$3#w|d?_qOM>CL>^VFk~)*fw+U^XoAEY z6jT#;@KEM=YFSd_a}-BV*{535r&;Ua^@lr1aRkI2B<>(_2RZfV3l5A++(F_FRw@#g z!{{LtM?i4|6h|=3tjzzDz7^HbsNSbI0)s-F;s_{?;7@oF;to~ne_5&Y;~} zFAVX&J86}2b@TQ)zU}#O1jHSLFLIQ~0%QTQ09l|$EC6u?!|Ne;uxPGVp5MCYLb=+} z%I;qmzfyZgeoMMs(&bjOE|=m6D2{;Q2n0$&wm>ZqLqwHaAQC7bXTr1^{;>iLZ> z%;7CL-YPDSfQ&H#IQ zdI9ryfvm}ELi{@|`hu?)AnqW3IiW-rAPbNM$O1KC0n!UV9~TS#TM|Pr*BIyn8wK+j z@T-R2vygI2Rj^D6Jz;9-FOv(5b1EpUHuRBU-`cZ1Y}Ef&ugCo&y#VP2NG||Bjr0Qe zaK)FedhM@LXN;&{+`-CpeZ(C!Ri(eE7bwRaEI7YoN%x5^u~;?jED|c?4x-e7(u-LD zxP#LsGlG5e|`~qo%FizyI9$R?R$d{5_91Hb;yiUW=AEn#*PO!$1t z7nhp1_K`N)a^s@LFR$TC$YWm54d8+&e(b0By^jTI)wjkgJL2Z=ifm|P1Bd>RpV&~SqgchG>F z%QZn48!gO8_Z;Nkd5}fP-pd~>^0b;>dYOBMqAv5NCXKiJ5ny{WtO&r##){Mf7Axkc zoo$6l%UriOEU}z&Ztl*8AKoi-x^Zp8Ngv0x%&Nl<8$|DPU!KrddF6EW8;kB}%CcK{ zKDedrH2tG#4I8c+pe>QN@AJ*a{6_#@oQXI{I3!eHGPaz?l(>TeU0Y*|XW}u>#F+Kh zk4$x+QR*@0w65^S&Ta5&p*j{b-s_c4<2ruQcg%&h6mbV(P&chqk*UEaZU)8l;aFdzrM;$4OT=e ztfumo0s$bp4T*6ohY9QtI2UudA}EKLTuh8}xpIjrmX(+bmOw#gV>toiQm!Cz2dj%a z_;X^T_uUok&Qct~^Wq3n>koI3;s}U4NZdi<4!)oiniHr|90A1af%FO zcxpdZE0O?V}tA|v^YP|f%b?(?^SOS~Ds#%4Y^@`MJSovR*smHJ?nKDPt zDztd_TIt+``gV17D#RVE&)mU|qt}Ek_}TR*?4c1dDuI1xTRCwDO<$wvhb%xAAPbNM zs@(z*N03?%xr5st56*pi>Ay$!Sopne z93wn&dmNw+kBia(JWo6)ghXLkJTJ_+oEr?9o5ueOZigB7gBi=Nih&xDn z0n!VQUV!uhe`#>fN?ESL2}0ewwf*=ri8|o%YpJso>TT=j_?)b>&bfodP4Dj;JXOl# zCo4`bK-@w6h)0PmKo%eikOgYO0;Crpy?~()9sB1=FTkmZ6Aj%``1NU!hE;2Z^=3ti zb}=G_l&yyDrNiq-S1m4ha%Rx2;_%)Btx78Jx;N1rr>om zL4lj7bhxe_O0_&BQX=UK5yx>_Rvu^221K!$QiX9cRv|G?0D?xP)Uce)1N{t+@0Dn( z5-7Ehn5MCsL_o%6DADjXO`~*!8?Ou3DIudY1Izb^jIs@E+m3+D$xnL1G?vBXcx;q@ z;B<&-9{2AL8TZ3UQn+*UfMuLC1SSPjLeom}`3u@86vg=9uCae^g z(68Wy#)Q+D@r?_YH8K5SMZpW*f;W#B-On@+KZflB;FqgdxSxNNzA1G^TK(b0*O_$C* z{LY@%(OH+LuK!QFqTI~W95acygTx&a$x;WTLvwHps}3^wG{m+j;K9W;P8d6RP7Et! zGeuehuZ6(^Gx-JVdH&CUAQD4~qfi1LWteOlFb(_-(7<$~5IJ1$9S zEb;WrEWDo9H(grpB_l9wQjC#B_U@KX1HWC`rp=;rPQuOO_xHV-wWZOsEHeDh2SOGd z+0;X>xfs5sbYH;~|D0pWZI|aR4AWn*4Lqk(c&%BvoH=q^pzj!3df>((KEllKUSNX4 zVMCCyHic{o7>7hwE#=*5HkJ`k;1kGP3z8A`6G;`u+k|m{rg)HPN65`g#LEu9m=be~ zjsMMRM|)-F?ltY%gx;GQSsZ2eR`QPFt|$7p3u}-ToySytA3~YVLC5*${Wo@S+~Xy^$NpbHQ-AImga8s9B@f z)ikKKnbS29N^vg7?EbBy;2O1qH)VX+!NfRlyEeV-8@BHUYnH%`!EMi2^Y@Nx@4&-} zyK@qAXPK%haR(2B-@y+E;3EW#d!$MQ>|K#os#I{_TB1Z6mT{06ae;9PjaCG~nYxPz;v?rHfzx33^+VDSSF+l*OXEy=Qc)Volpy61g&hjYrV8_AAk zXGgbL{z|r;kI6Mn2E+AfckOE`QB&HJ?@@zZFTGv2W zS?jUZ%mNl6?w}FFXt-%8j^G(r!Zp*dGec!tQG--taxysHEXZ@X?*gygOVoyXAL))g zG+Z13aRZ|F2{xzaR(`mpgICWD2`wZL}RH9 z3|Fmz2de-Mtj4U{BYy>5(BN;-f1~CUF4?@iX-@^aR-C9Zn(64(-lk7 z3%n$Hfpp>y5_gcegTx&q?jUgot5M`adI8c4kX}FrP=#UU9{6E@(jbVXB)z~e(hEFK zW@Dntl3sxH0#!e0>RK;gjw4v)S2je_Y}&hgy#R3s;X4{7vH)3tEI<~h5etxBfVhMI zT#grwQ%K_!Rs%?q^a7+8Kz;@4kWjaUnxq;TS2cA;di~-KR;KGC?jT%#e2-6uj4T3_ zh9I{Ns|ANB;}`|KVHs8%ZaGYr;|}gzGH~jA-}TpVe|8p0f`*;!FW4=!i?x|=V`KfH zbp(?P3n{&*1q|H5Y2$NCj2xc1;H2M74N)p*11I=&*s`U)`VTN8O|}BYJ)u3 z8NA3R=YCMcl!{A}u8ugl)BEm2E2ot!uiyIV>_bh5NROjegxcvtV>>;W^;xq%Zr|AW z3@mCgZvdl*tePP*%B$Hozc>STgs6KW=4HF-B$FU?^;QI^UaxE*n8d3=0kkfMNS)e zN`KlW_{q`W1%F+{Br0u-kZ@Dn3_5Kw%Lq~^>oVjCnBj@pFQan0b zEj!+S&Fukpi!#Q)Jy-q6%KgEC`!`xU6#mNRV@-6n5a=8Qf=H+ri1uQv44lBqsAg%f zIvC83IxY0!j1Y@5cEA?|{28L@fCIv~Jcu`Dhake0xTS?L&7 z(o3X}$XGSg%1lKx8j)Y7A}4VIct$g5&pA#Z)xu?vzQsl><>^WwwAk1h5P@)|#DH%x z6I>db5rKdNV3Eg1+(7|D+(F_F5_iy`)n#lsZl6ZLG<(*aKP6ooIhQ{`y}{-hCdQs4 z1_JR99|nF^Z%y36$tq)0s^=h==>7VeUu^w+^4#8b2k)-$wdf|FzIpJtw?~-?Huox6 zd1?Qvc}>Pk`*hoTa8~Yu9o^QR7@cpssPU8hkbqM|BYxkU-|5^1*VW~o@`kHp`h%}q zj17nV`x94~xP#}@riN@6ZaXnf#x-%rfe~#xKj@Q^*t}V@kP(G}Mfc{9*m2M|`_|hn zgMYeu?O}4Ee}+I1pX?D`n&P>(d)|hoBkwQza&Sg`&hj$JcAF#NehU}x98+c+uu(p8 z%!ana9c1jpO1Vm@02;LB`R&N>!S>usAY*N!^fQcqI-rS>LTuF)TBm0rW8f1e#-&{2 z$aoMFwAu=>yI;aRVQxt|%3$;n1l*~hMcY@IiDzSDg?LOkfQq51Y)Fg~oUWmnWhmEQ zBscK04dsgXKx5}}h)sg?8Kb6xH0a?o+^=||i_4Gc>ego1hE4ys2zgOsB8Hv z9r-qJ>6UfrO1Neksz2(KJLtBzTiMnxi^uch2#7m~AC4%I1;_$q0kS|%SODS(#PyIn z*so~K$`-l4y#r@%X@2*MCVnkWY*i9>khp`!0V$EuRV^GZiX)&n0*WILCgmojmz)PYRkPvr}xP!zUB<>(_2Z=jK+(B71j?m1iQ=`L%)!2xmRrhU? zUO=dKs-wO=(hC>_VP;AqjZ^qc4}Aq)C5=IYb?x*vU%gCe*j9qr10ipGtZG znXIn#0_N`mUSG>@?$n){!q*EBcM!jvP$CPE1;_$qfts)Y=>>>8NZi3%j6Ec zcI=l9uOD5txZuf|LAQ#-dk?fKsnE~%6o$aX)5owGqHLMgOirjd#wsKI>l^JN7 z#>+JtGEy9n3z%SBTHy;0|)s!Fd8BD(7)==gr@Y8R&jZHL%I} z2dSSr&Tl%FL$cHcbubJnQ^&slYMSzTfJ=rjbbIz6(m{6LhHjO{9e4XRY{=}?C7ySE zhPPJ)F1)uiXT`R!i*61q+O;?3#BX18O+2uAq2=^>h-ci5k-nQ@9x`I#5|sUWr{fQqlle-E|rav#236~vo34Ere6-Wo+lg_w(8YM z4jHTO<##*l9_0JUhDozTa~Aw-UG!Dq#C`JAW%g%pB^+AS%OO$|HEs3&{pZHFYUY`A zy62nWdpfUj`uaaB4m7&AgxOIr;qxh9Tx#ChN7`u1jf)z;yhbDTFn!qVlCQcw4$n;w zNY~7d|MugLzWm~|8P^XNF6c6E)Njc-yO;0Vx~^HcC9| z{JT$9?Eif6fXH33S=*MboSE|Z-Nz}Z-#0&>(mQ|O){#Fqn?Aow*0B>mZ){53L2aN{ zMUEc>1TDnNU}8LZEEaIcVx>aCinXcHGO2i!jlBWr2VX+ZfdfOIiHULJZIZ|;B+nKm z?%-46Auw=koDEilJ2|Ym@hM=iqKjN9k!IvXWoxw%`5Y-0vls*m(E-LUbev2?x zCBsw9tQU}d+9&VWLtzQU5j-!BfUQ5=L5d?F?x2+72q=z#;s_{?fZ_-!jzB3(QnPHF zB10LTS}VLi;toEeS10aZIiehK2j%QoC2%aaR*IbQ0Rv&Ko%eikOivU0uV>Q)#kn?SBeK=b6hxey%{yH)> z?LQeevRe~(khp`y9i%t{gAeq8zx;8(r-%v$Sm~70(kq69s8|{QtJNS4tJVzb4f3sa zF(QSO;s_{?fKz+m%h&&JbRPlac2))dBw$7^xVPGa|cJ)3yiK87+o(gx?W&(y#R903%b9Z zih)9KZfo@1!O?RE^+wkVjII}eO#b4VARoP_5G9q2t```L>jmKZ2tNG!^q@Fc_BQf* zfzfjZ!9&BN#ApbNhQMeDjD`RWLSS^g!05Sy@Pm~=hclS~F>Kc?=)fdPAch^V1mfER zF>JpysIlS(#Gr$gKmf!K0OBDt|2BG0;pjbuqxTdd-9$Kg?%*I_FOW94&K<5NziTh5)5T0cjxsK6kLQ26pn`#KAqrS4_=j6{JPvfrcQ2g|ZRdDg*=Wib0{8en~ zD0%(~OG$5(tNH&4yQREEPjDy&t`qB1-&V`q^YinbuE4}iU%EekTKC|04EH)0rPmW> zUp-X%Yp1jAUAxp}>)y{sGv$RG-7T3*Pj=1u8}<2i_>+4Vt;6O;Tb^2XefjDOXW9jN zB-_+x|GeO_=)UFiEe7+7@0G+Qusi5Etq+s`svOp`Vy%L9|c=eR$hj}?kL9z)up8GCf2~j(`=(SOy zQC9!K>d8gFS;c=I`~Fgmf8|@`lVTc#7#SJ`7#JLZ zsX`El@thQs0F*WW20N(D3#1s>SU|_5vT*?yOJyeJ1mqW$0M7wo6NN0Aiia(t(gUBR z3OZdV52y*&{$=CJt#nDvNli~INd+G;1X9qCtbiNo+FY)2it% zr_QZ{JcVV87qFdu$IxVE^!eD!Q^@%foYkO50s;@ZWME^5&LuOj@uTH54rT|Sg3Uk- z>vgiR_$FrNL9z*(IGS=js6n7}GlPM-XY|~`(Q^k!&m9D21`rrMcd#TgwHQ*OoCcOV zpbZDWGJ=6k+_9hlc=m2$NoIZ??2ZSg#Nt#o{uIb@fu4EAC5d^-pjw?x8B38FpenTmh`n{MKCA!KWvoM0VL6};UjRFYaz O0y<3)M2Ar2+(7`y|7rpN diff --git a/Serial Observer/Serial Observer/Form1.Designer.cs b/Serial Observer/Serial Observer/Form1.Designer.cs index f5e229b..a27d6a8 100644 --- a/Serial Observer/Serial Observer/Form1.Designer.cs +++ b/Serial Observer/Serial Observer/Form1.Designer.cs @@ -39,12 +39,18 @@ this.button_portSend = new System.Windows.Forms.Button(); this.textBox_textToSend = new System.Windows.Forms.TextBox(); this.checkBox_HexEntering = new System.Windows.Forms.CheckBox(); + this.label_comboBoxNamePort = new System.Windows.Forms.Label(); + this.label_comboBoxSpeedPort = new System.Windows.Forms.Label(); + this.label_comboBoxDataPort = new System.Windows.Forms.Label(); + this.label_comboBoxParityPort = new System.Windows.Forms.Label(); + this.label_comboBoxStopPort = new System.Windows.Forms.Label(); + this.button_setupForm = new System.Windows.Forms.Button(); this.SuspendLayout(); // // listBox_portLogs // this.listBox_portLogs.FormattingEnabled = true; - this.listBox_portLogs.Location = new System.Drawing.Point(12, 39); + this.listBox_portLogs.Location = new System.Drawing.Point(12, 52); this.listBox_portLogs.Name = "listBox_portLogs"; this.listBox_portLogs.Size = new System.Drawing.Size(629, 147); this.listBox_portLogs.TabIndex = 0; @@ -52,7 +58,7 @@ // comboBox_portName // this.comboBox_portName.FormattingEnabled = true; - this.comboBox_portName.Location = new System.Drawing.Point(12, 12); + this.comboBox_portName.Location = new System.Drawing.Point(12, 25); this.comboBox_portName.Name = "comboBox_portName"; this.comboBox_portName.Size = new System.Drawing.Size(121, 21); this.comboBox_portName.TabIndex = 1; @@ -60,7 +66,7 @@ // comboBox_portSpeed // this.comboBox_portSpeed.FormattingEnabled = true; - this.comboBox_portSpeed.Location = new System.Drawing.Point(139, 12); + this.comboBox_portSpeed.Location = new System.Drawing.Point(139, 25); this.comboBox_portSpeed.Name = "comboBox_portSpeed"; this.comboBox_portSpeed.Size = new System.Drawing.Size(121, 21); this.comboBox_portSpeed.TabIndex = 2; @@ -68,7 +74,7 @@ // comboBox_portParity // this.comboBox_portParity.FormattingEnabled = true; - this.comboBox_portParity.Location = new System.Drawing.Point(393, 12); + this.comboBox_portParity.Location = new System.Drawing.Point(393, 25); this.comboBox_portParity.Name = "comboBox_portParity"; this.comboBox_portParity.Size = new System.Drawing.Size(121, 21); this.comboBox_portParity.TabIndex = 3; @@ -76,7 +82,7 @@ // comboBox_portData // this.comboBox_portData.FormattingEnabled = true; - this.comboBox_portData.Location = new System.Drawing.Point(266, 12); + this.comboBox_portData.Location = new System.Drawing.Point(266, 25); this.comboBox_portData.Name = "comboBox_portData"; this.comboBox_portData.Size = new System.Drawing.Size(121, 21); this.comboBox_portData.TabIndex = 4; @@ -84,16 +90,16 @@ // comboBox_portStop // this.comboBox_portStop.FormattingEnabled = true; - this.comboBox_portStop.Location = new System.Drawing.Point(520, 12); + this.comboBox_portStop.Location = new System.Drawing.Point(520, 25); this.comboBox_portStop.Name = "comboBox_portStop"; this.comboBox_portStop.Size = new System.Drawing.Size(121, 21); this.comboBox_portStop.TabIndex = 5; // // button_portConnect // - this.button_portConnect.Location = new System.Drawing.Point(12, 219); + this.button_portConnect.Location = new System.Drawing.Point(12, 232); this.button_portConnect.Name = "button_portConnect"; - this.button_portConnect.Size = new System.Drawing.Size(248, 23); + this.button_portConnect.Size = new System.Drawing.Size(109, 23); this.button_portConnect.TabIndex = 6; this.button_portConnect.Text = "Connecter"; this.button_portConnect.UseVisualStyleBackColor = true; @@ -101,9 +107,9 @@ // // button_portDisconnect // - this.button_portDisconnect.Location = new System.Drawing.Point(266, 219); + this.button_portDisconnect.Location = new System.Drawing.Point(127, 232); this.button_portDisconnect.Name = "button_portDisconnect"; - this.button_portDisconnect.Size = new System.Drawing.Size(248, 23); + this.button_portDisconnect.Size = new System.Drawing.Size(133, 23); this.button_portDisconnect.TabIndex = 7; this.button_portDisconnect.Text = "Déconnecter"; this.button_portDisconnect.UseVisualStyleBackColor = true; @@ -111,9 +117,9 @@ // // button_portSend // - this.button_portSend.Location = new System.Drawing.Point(520, 219); + this.button_portSend.Location = new System.Drawing.Point(266, 232); this.button_portSend.Name = "button_portSend"; - this.button_portSend.Size = new System.Drawing.Size(121, 23); + this.button_portSend.Size = new System.Drawing.Size(187, 23); this.button_portSend.TabIndex = 8; this.button_portSend.Text = "Envoyer"; this.button_portSend.UseVisualStyleBackColor = true; @@ -121,7 +127,7 @@ // // textBox_textToSend // - this.textBox_textToSend.Location = new System.Drawing.Point(13, 193); + this.textBox_textToSend.Location = new System.Drawing.Point(13, 206); this.textBox_textToSend.Name = "textBox_textToSend"; this.textBox_textToSend.Size = new System.Drawing.Size(628, 20); this.textBox_textToSend.TabIndex = 9; @@ -129,7 +135,7 @@ // checkBox_HexEntering // this.checkBox_HexEntering.AutoSize = true; - this.checkBox_HexEntering.Location = new System.Drawing.Point(13, 248); + this.checkBox_HexEntering.Location = new System.Drawing.Point(13, 261); this.checkBox_HexEntering.Name = "checkBox_HexEntering"; this.checkBox_HexEntering.Size = new System.Drawing.Size(156, 17); this.checkBox_HexEntering.TabIndex = 10; @@ -137,11 +143,72 @@ this.checkBox_HexEntering.UseVisualStyleBackColor = true; this.checkBox_HexEntering.CheckedChanged += new System.EventHandler(this.checkBox_HexEntering_CheckedChanged); // + // label_comboBoxNamePort + // + this.label_comboBoxNamePort.AutoSize = true; + this.label_comboBoxNamePort.Location = new System.Drawing.Point(47, 9); + this.label_comboBoxNamePort.Name = "label_comboBoxNamePort"; + this.label_comboBoxNamePort.Size = new System.Drawing.Size(53, 13); + this.label_comboBoxNamePort.TabIndex = 11; + this.label_comboBoxNamePort.Text = "Port COM"; + // + // label_comboBoxSpeedPort + // + this.label_comboBoxSpeedPort.AutoSize = true; + this.label_comboBoxSpeedPort.Location = new System.Drawing.Point(160, 9); + this.label_comboBoxSpeedPort.Name = "label_comboBoxSpeedPort"; + this.label_comboBoxSpeedPort.Size = new System.Drawing.Size(77, 13); + this.label_comboBoxSpeedPort.TabIndex = 12; + this.label_comboBoxSpeedPort.Text = "Vitesse du port"; + // + // label_comboBoxDataPort + // + this.label_comboBoxDataPort.AutoSize = true; + this.label_comboBoxDataPort.Location = new System.Drawing.Point(275, 9); + this.label_comboBoxDataPort.Name = "label_comboBoxDataPort"; + this.label_comboBoxDataPort.Size = new System.Drawing.Size(103, 13); + this.label_comboBoxDataPort.TabIndex = 13; + this.label_comboBoxDataPort.Text = "Nombre de données"; + // + // label_comboBoxParityPort + // + this.label_comboBoxParityPort.AutoSize = true; + this.label_comboBoxParityPort.Location = new System.Drawing.Point(435, 9); + this.label_comboBoxParityPort.Name = "label_comboBoxParityPort"; + this.label_comboBoxParityPort.Size = new System.Drawing.Size(34, 13); + this.label_comboBoxParityPort.TabIndex = 14; + this.label_comboBoxParityPort.Text = "Parité"; + // + // label_comboBoxStopPort + // + this.label_comboBoxStopPort.AutoSize = true; + this.label_comboBoxStopPort.Location = new System.Drawing.Point(539, 9); + this.label_comboBoxStopPort.Name = "label_comboBoxStopPort"; + this.label_comboBoxStopPort.Size = new System.Drawing.Size(82, 13); + this.label_comboBoxStopPort.TabIndex = 15; + this.label_comboBoxStopPort.Text = "Nombre de stop"; + // + // button_setupForm + // + this.button_setupForm.Location = new System.Drawing.Point(459, 232); + this.button_setupForm.Name = "button_setupForm"; + this.button_setupForm.Size = new System.Drawing.Size(182, 23); + this.button_setupForm.TabIndex = 16; + this.button_setupForm.Text = "Paramètres supplémentaires"; + this.button_setupForm.UseVisualStyleBackColor = true; + this.button_setupForm.Click += new System.EventHandler(this.button_setupForm_Click); + // // Form1 // this.AutoScaleDimensions = new System.Drawing.SizeF(6F, 13F); this.AutoScaleMode = System.Windows.Forms.AutoScaleMode.Font; this.ClientSize = new System.Drawing.Size(800, 450); + this.Controls.Add(this.button_setupForm); + this.Controls.Add(this.label_comboBoxStopPort); + this.Controls.Add(this.label_comboBoxParityPort); + this.Controls.Add(this.label_comboBoxDataPort); + this.Controls.Add(this.label_comboBoxSpeedPort); + this.Controls.Add(this.label_comboBoxNamePort); this.Controls.Add(this.checkBox_HexEntering); this.Controls.Add(this.textBox_textToSend); this.Controls.Add(this.button_portSend); @@ -173,6 +240,12 @@ private System.Windows.Forms.Button button_portSend; private System.Windows.Forms.TextBox textBox_textToSend; private System.Windows.Forms.CheckBox checkBox_HexEntering; + private System.Windows.Forms.Label label_comboBoxNamePort; + private System.Windows.Forms.Label label_comboBoxSpeedPort; + private System.Windows.Forms.Label label_comboBoxDataPort; + private System.Windows.Forms.Label label_comboBoxParityPort; + private System.Windows.Forms.Label label_comboBoxStopPort; + private System.Windows.Forms.Button button_setupForm; } } diff --git a/Serial Observer/Serial Observer/Form1.cs b/Serial Observer/Serial Observer/Form1.cs index 062ae00..31c3636 100644 --- a/Serial Observer/Serial Observer/Form1.cs +++ b/Serial Observer/Serial Observer/Form1.cs @@ -27,7 +27,7 @@ namespace Serial_Observer InitializeComboBox(); // Form size - this.Size = new Size(670, 310); + this.Size = new Size(670, 320); this.FormBorderStyle = FormBorderStyle.Fixed3D; // Form options @@ -35,6 +35,7 @@ namespace Serial_Observer // Buttons modifyButtonsAccess(true, false, false); + button_setupForm.Enabled = false; } /***************************** Events *****************************/ @@ -106,6 +107,10 @@ namespace Serial_Observer } } + private void button_setupForm_Click(object sender, EventArgs e) + { + } + private void DataReceivedHandler(object sender, SerialDataReceivedEventArgs e) { try @@ -129,7 +134,7 @@ namespace Serial_Observer } } - /************************* Private Methods *************************/ + /************************* Private Methods Form1 *************************/ private void InitializeComboBox() { // Port name @@ -237,7 +242,7 @@ namespace Serial_Observer private void AddListItemMethod(String myString) { listBox_portLogs.Items.Add(myString); - listBoxGoToLast(); + listBoxGoToLastItem(); } private string HexToString(string hexdata) @@ -252,7 +257,7 @@ namespace Serial_Observer return (String.Join("", hexDataSplit)); } - private void listBoxGoToLast() + private void listBoxGoToLastItem() { listBox_portLogs.TopIndex = listBox_portLogs.Items.Count - 1; } diff --git a/Serial Observer/Serial Observer/bin/Debug/Serial Observer.exe b/Serial Observer/Serial Observer/bin/Debug/Serial Observer.exe index f85e674f37561dba989f0548c18e03a87674b82a..944044c1e0cd495277d0d625c37b956a2ad30953 100644 GIT binary patch delta 7701 zcmbtZdw5jGbw4wA_wL;%x+`f{s|ULh2rYVmB#=SG4`cxbf)PgMX~!Ty#xzK)>@F!p zAGs?NQ)8Rd_|nw4Newjki{nS_$|2Ca?6^+j*maju}RNj^6Q_v>e9>(;m~?(fX* zO1qNl{?XO9=g#?^Gv}N+GjnF{DziKDHSyrRb$`_S(~Xq-{F>^>D_V)>12D4SL+cKG zcWq$dI-(v1HWR(&JXBli%Mkg%8*7QG?PKlD_6E`5`PDo?F?{FS_lYL4z&SCW%XwG;iimPi9vN~Ax0E76dErAB*|bhKZury0_g)D3Wwvl!8=&Hjz!a0l!StJD7hV(4Mi8mr`x~O!j;n@nL+0x9?}!_ zXea+#iA&xSP}XDe9Quyi|6eU<1=J`? zcv=Ou%%Vt@{Vhj@)c}!4Z?4S`PYz=!jzk=AdraC6EwUMnGJAeA=39^St0qHPC3l&@IkC7Q6HL`dnRiI z4IQr!$D7gD7nJc9JRev9o#XWt$$BM>j0tCr3oCQNsxjg0&yP#1lQUJ<8rShSwxQ>E zq79U1%TMnAV^~i;%{evoa9u8^QA*B%wKyiQDLw~wl5c?xMO(2Dmg`lnxqv9%Veizd z4c-H$D{+nepk7s`1X1rn9!~w43JgC!&;E&Cy-jIlhncuW1!lU#>r36H+cdtuqy21l z6I3LWfy!KeBo6(d?IWYq8!GiRHJD!0cNiPCVAGg>l|4jogRAOJWuWTd=Ty!^rGa4J zY6zP^sC|pHS4@H`!jqs#WD-YiJD-dQmvhfw8Ud*?T z8r9{UNFjELlS1C6ZY?=gVro0>vqq_s_}!3%y-ihGvNbEYV$49#n7g=Vi~8l;e%cFS$D6fv+F%Qvfpig*)w9LmZHmEY;%XqHqkfVM9s-JQS$Rm zoD^s-=5A%&jKc$$Mh+vKSU%1~ebKoo-dTwi<6!a*3_Wpk9 zSdov`1!l}JGqD5M@)PVbp8Q3DT?Sy+Dvp@ZNZv3XV`GMyiFqa)W<2??1%?@z6flF8 zdAq#A^L8z@-eU%e?1YF}D;d(pCyaqfh8e8N8|Gyj^~EkAuSK)Pcyd93DWy0N4X4Vn zw#KH5(dtBxz0O~=eN}cPFoc5v(dnsMSao$4=RFa%CVOH$nJ)0eKd5c|iqXzYqv7&MkhW?#}xRC=b2a>@fpNPOPS)d15>naPXAd zHB-X*y{Xhag_e@@SxH4NhqI=0!83#4v5s@W-&5uQwlz>hwrKFeQb4n28v4R|=exccuFLu)q}`AhB04 zj>-FqMaeg<0tZU@<{yn%3>6{vQ{F3FK;r8a8sC72RBtUW{<@C`P!f6(d|!ixIBk#Rwl5Rx!k9Q!&EFU@^icZZV=RDA^1p+jZQTZdTb+ z?cAaZ#c#`I@OFMQ{vO4@z>Uyt@jLjLLhAMSCMCoDW-~Xk7aU2rKrv_1S1~t^va13& zv^q*&4@YOcDzT$_q;F#TZC7^}>L?K{lI>kcD<)4rOGWd$Z>T5meJV{m&42(5sXdy%PWYkKK* zy!T?NY1&O|mMy~b9q=F9FPRPHJ>X!3V4m>$slNTT2zFV`Zk_IOG7ULCGN#azP}6Ch z<58DR=N0{lqCHMVsuZ28=%B*89e(tEU1NG&AqO01oE~a%b$bGI)q5KBTgFMp?7`V79KAu=o!7+qtoAeD&eM1(ciha{W@rXN<60_eOGe3!_P)fC}dD$ zoiBQsw&+YB0}`O$YWEmAUC}-QJ+1NZZZuYa{+H`MNblE9;%%_eaZ$so{-pnuRr;L6o=U-#6NP68ra5IOZ z1=)XqdzQk4DvjOn05tm1t|AF808jT&B{)8R?u6`n;G&9KHpU5Z`+g?iN&5xp)1KEr zKe60@i^t!|H$bNfrg1;h3&gbCVEUowP0(5|)3*iFzZB;|-*f&J^j+`oK!2&|DMg!n zjFc%_>v~g|)b4r@v`Tv))Rg}&=0N@-=o4gpELO!3BJHnu2kSu44;42;_+*Qy)rSeEqcgIyDIy^K z7M;qm75-8gpjbmz_$CG96q=voTt-Be(7ieCkS8Wh`imU*AttAc&g8gHoDH&^>f>1} zAK|B>5G_^QU(sf*99$+Rd%&w>I<3oXta^yLT|**FzINvJsa#;L6=xgQ8_hCIn{%9| zwS)Wp95+Rq4=$s)Zy48kZ;%!A$BN5lw1SQ*?oh>h#*o13!ADcs-o$GOCmh(HiIo^1 zeq6|L%j6NkT~L7 zLI=cUeL1~MQtzPy=-dl>J@)+(SlER2H$^`kf&NZ9CYs^+aq$PfB%PxV6y5$Oft>Mt zAHh8=-+`fv?teybUU7-8i1&RT&{=VpripXH=QqVMg`X4iym4X5E}AXevXwd@UFupO zj#=U{?-E4)gilaJZbpnFY9Sxt_?tzrOKkX%he@D z#H(0tBSK|VJOIlx<L0SSmUp=n-9Vy=M(*t-M`~&=m+#^xXmmB08?jf;3F7u{A|I@WcysQH1ll$cX(I-FgJtVp?DG!T2 zP@VS3r^FLtCjALr(q`fK-!5mN2oHf)S@ef^OhY1PQ4>7{I!DpZDcY^@&(kRIUWMGI z=vI0K$QS7Y&=gA7GG%%n?V~l?KDwV)f!d&dmZSUV8`_Jiy;%+e7wVE=VBy=%m4=m} z`{+CLWBP;)5f(9FiKF5%;egnQ-Agz)*Gk+ewA(?qdbdc4V}pOz4?!+aWt?bNkc%E( zM|l1mG(wIH@2kSkcd!K=1;BHH4;NruB=Vo{9wa@8lYwhgpnAg5|?)h4s3de_jB zL~_91ReP;-McK_|kxJi~*nLyt*2IdwRNB5&TjATXE1gaZZc<%Fjk^0C z-8)%-)7HemmIRa+^`-k(C6Z|>hI@zl`?q}xzOrwie^tVMa7JZIk(u6fV(3%USM?=# zr1wBD`u!OmG5UPNPKVX9llo{2hPsnB(N;>(0JsF*i0^J%3E2Q-tH32GjjLzA6~jHH zhj3$_{aO8cX$ZahY1_Dk=vvgfG_Vx@`pJqxxQk*?%(?i?fEFR3G`{&TXxd8jyi1x}`cbpmBXCH2!VLk4QNnsdzOb9b`I{WyM!zF?P&KLzVFKIC{_}@DQ8UZhU zdI=cjUc=0caKGvb?hwrUjnX~qCx@9i7YLY{x6RCNoGvMY1L!8*E=O>2z}yoYz&m*s*RYe4rZ*&HPxC+NWDZ*%~aAnq8j(20Oa+qP)(r5z+Zs>473e!2HZ1;2>6i= z_zLXS1Q4cC?Z*8pnEAjQ5io0@)))c9<&@@LDRKFdFm1RT61}B#xg0h2_gbdSG}S0Z zB0MCYPnjI4$v*0NWFs?`Lgocq%qpLKESNcl5*Hk4Gef#67|6M4&=;Ovzt zjq8FV*V&6_wOg7V>~rYo$m9gLGEFz9;~UdCjlmt+$1Wa1z!?+fULF~u#6uGZ9fCjr z7Z{jO^aL)Q$`_zAPfQDD4g`XkgVotU4>%2_$@GN7pwY{-yjpYqKenj0RE>f*9X}XM zpHH;9cTsO(TSxT5zde1^H#_=Xns@rve;AFo8zOKQN^bsh_d5IfIh8WK&fYlZ+oOTG SA35dWd86in_r;-B*Z%;P0>Kyn delta 6260 zcmai33v?W16~6yJvoo_Zn@wgnyPM5(lQhXDX=~C4^nudSQd%rYLujQ1OAF-@pm6P++uJDG?C*DjO5r=t`-tci3%qZNHnGHeNi?-?g9rYs##d5+ zw!MRBRs)d+DMDo2)`oz&-Ctc+n=xkn??WxA5chM0C?i^fz<>TZeo&hkcN zTMp3})In6!WzkwegnzJ=ayWqTnIwi6y4C1rY8Yynl*7i9f$EkTq)`Y1R+zEqMs;Uc z<$}H$tczx@86Iz+bzS?F?@F;fqj{JuPGAS+`K^|Lc6#czry z5@}TfIjpmJBkP)2mqqu+?6rV+!?JWUs8)KZyOpv-%zsbu6-7R2laZMPn<<4C(o>?w zo1-Ukh*Q*b>1q`b6c^YJu7xL(iFn+PA^WShneuI1`>{}msNnS$G-oa)i*xJ(gXL9o zggK*D%8_jwvm1+5ki%unx4}yq7Dgt`QBY^HXfUNOs0t(6mEB88hs+td&J1YdreZ)r z`aXH90&Wg_%yuM}0FxVoh}PJHFtJKpb~()b#2r=0rX^lqXtRxiZambINOxjvC?eCd z(cZooHiufOvMoxPoK()4QdSp~HIvG@@1D}uX4}=!I`dE($H_jF!P(`-O_ovc|0MSC zSCE+3Xe63iF$Ps_pzM5jOJi9()3^Za>SC>*5cFTnwf>( zJw{EXQZzVsa5^o=aF+G-S>8{L+HK0pPcyR+BU;G~CN7Nz-JolIbYR|bIm{=dDx(}! z7sf}`cvy$XiaPOVsI$#=+|VbYWmwe7!{wH#>LG$&v*sG*pyrMxs^;-%I1)Y`$7vDj zfTQeHXHZp%GpJvAe5x0p@^(y4GO+;XJzbN^INrc;b25{aCSQ;Ux8be7YZxT0#YJ9|M5odc}vub0DP(rL~ zfZ|T)3N2eNv9yc4SFEVglm($lIGr_Gw#(N9gRL0Z6)YV&N6Rkp^;?6{?d(&HOgfzb zs&YEX?6@B>;LPb-2J6rF6YefuFABJj^nfpP?0|CeX3{dKkKHQM&|q{itOL~2w$0skm>58C*FCJo>)j(xcg>A$b2@g@k;nLWa5knnXD=L<=xZ}O8o|f zD=r7_eXJNOvP-7>>3g!OTv8Zba&Y;JPLPm(M=+Q_m-l7s~mwFgX?%z`+S~v zamq$vR2=Ut2BOBWF1u@59Lo4@;$Xfm)8lo7>#i-##}wwnJ5A|)d{9*VO7d3Iu`2uM zwCR{Y2}^TkvL16C4%eMmn2RaQg%eUb7oJ;sLs{zRv;wP%n9shZCh{uE6UA+*%!9>p z@{>4`!Rr}Uj|F(*)JrPFd^W64tvVB_yLhGCl9f^p?CHLRjx3>iIr3nr{AO4^rdM0_%j=)Gn{OJ$rX&a zjHO1rh@}kgxKf6Xc;{-=wD}gIN6?9kX|uERLTV7wnpm|oRZBb2wa$AOP&oLImr4;u6x^CB}$`?WFHbm)v{X^YGp zOyN30KMd3(#;-_@>uJQ^PovnSHa(&8Xt#FTy#*$wGFa-UY;48~l}(SvX{;a)rA!6% zK^5YL&@-^S0E#Qt} zm_^d0%2~d2p?FIKXkyxiF1o=c#UR~S(DgzWqtDM} z%Y$T?+eM6yC~2?CK1NR~$+LttOU5Yl4z~0xP3wTvT#(AOE=aQ>Vg5TUa?X}we0x@W{1d5%m@O6ST8B;PWEPMQ33_9wW{ z-ll)<|56nAZfrC`W4QDL{ad;F6Wj@U5SKNa+TMZcrrfv^Y{?4Lr+|`{qOTEa1+8|1 z^nfL>vw4#wfi|@RE2vxHVui~Uu2I;haHGPlz!(jv{!WEM3X=lfa@2^Xn%Y^QZiRio z6jqj*0rcC@e<&~q_$9f3hQxDD1&!0cg3D;1_@}dqM#S^Rg>;mp(M$U{&-#1N*N!F^v5-rtFhr z!R?|?hMb+iBj)?XQ5DceIVwlRMtOPYV`4ED<&$C~(4d|2;hcC-w9{wlHEk{~iYw$? zT&33mYv{wkW;y`ur2ByL6)sh{Lh(Nkcqr`LglbV{yKp7-KLzew9l z`)M`M1KwM}y_EZ?_LLHq$zdq?!sowY3Yv{JNK0|Zv8Cv793Vr)M4i|zJ}2%KIxGS> zAA|>F56(`rb_uZ6*(4<{9RBlU40?g{9zZ`qCf$bL2oZV|7$rT=r%HX)9g5!d{G#Vm z3J(i@Xw2mBI6H$ofrDCVcSO`Hj(K*H!fVN+h5j=1O@w6OMJ0%@b`^bn;v4ll3~x!x zTyICqO7EGL=)@md_Up6yug(r^y=x;G3A?m91X^97kpGHbUEPMqqn#YABC z^}2V~ybX9Le!{%(&3o^@;=D)JY*=;mo!8#x-8HW@cV8eSg=HBjA>8~o{N^#;6p?{I ziX!==T8b?EwXoJgCv2wzB5b)smb-_C)mE{6B>w|t`r|Uv-TcqOVK@IPH~(V5lrl1a zQ8H-ik@LguO_2dq(Y*<^57*UNDOnrIzn-kC)l4Cc3PE-Cq}iete+&*oKg+vpesbkd zUDyh{dl>!D-hhj`Faok_gLrmF@_%*n|Aq$(t8RrYGa%g|DJ51GUQAP$?jG;R{Pyi- zD${w2+VY^O$w>Zl_=k-b8JXjbbSv|PCLDz$ zBMSp4ReqccR;er&M@ANV>lVz*=>`TdjB7@uQZw9H_@)dlTjXlL*~xi?11aGSDcpl3 zHG%NKhr@WVz=OhZJpR=*pepT@7Rlclj^y{%`Z3=cu#_d^xDKqu^I*bQXlS#G|1$sw zfNHVdD%asVkLcq5mHnqjZ?FHr?mK(OPQI||<(e^(x6Uf)^Yv=pU`|myXoHKVh z_s+aAKGcm5^`4}F2wgL;oPikE+xl*Y%b^bah8}Iq|>E=zRcgD4U(C>Rf-xO37;{5UEUq^qn z_NhC!guPqyy5oVoa`ypg+a2QX3JeXlZWI$j!j?eVe5kk5arVR_;L*XLgq28-L8h?+z zOEpcH#P4Gh!u9vj)T_|AIQ^W;k4xouSzNe2N8J_Ikz4O)^~7Pp{B>%Y>qZn3U0b}e zv$Jh^T}M;r$`!NQ+LzVMT-tKOO!!Tcr;EvQw@b z+e{p}ycW#gk+ilmbXj9{cHt=XdP=^h*1mc15W_1m+@L(EUiVVML|`GU8l@XT zR_EDkw>B|zgV$BG#;EgYr5>?!XfEw*nx3|sb;eYus?*2FG1q2A#yNg%W!a zl-Q?Ee`he&8q;XV1Tkr5%zDUVXp3PcyaATLMpz1$z-!@+kl0!?ybiWN?xD5974Rn5 zp=l0nsY=c&&6jRk=Z}ugS{LEfh+J)$OE+;fWGx5RQ!=1Mb!B<031(WXgyMONX{l+q z!XWCaU@Tk>cfs$&XW<(799#>Zhh6XucpLl`>{e&9rt0Y`Ioq3l2RiA+olufgCP`wi zqb`$m7nB9K8_ELQqgH3f=?!W}wzvC!bmF@K$^>tOA@Bz<)TZoKi8UZSWO{&Un?PYz^s%OqA$<3;W=^a0mPgd>nqk{Y}GDD8%p^_+vPXDR~mM zz^7oV%@t4rx7+pAkhM0x4|l>1@EN!firr=?{*S_+!kzG0_$_30jqeKVf|J}3hv85f zM#AUem9QVi!rgEj6uSf{cIj|Hbxk_0EA?8gH{k_zgw%cx<SS(sx6>t0 z3|$T`^Rx_t2NAiB+aB-3p~LzEbs5$NP;&btICNMaQdii9+x{JnqU}74ge0lGrWvg3xJ&E$G;JGH?^JKi1>5tVw&z7C1D5#@hu>0|y(GP>Xl-Dfdb(jSYK^DaL9MV5? zyH0|^^n<)JqG29Pgyfwu9kM>gT=+e>1WxDBF*+!aIfe{i7Tf}7L)o8&@D<3C8E?Zf zcpT1wXJ9$h9oFM63|3N?ZAtzbY493Y1Q)<+cr9EG$!lW`tmV)#)>Bx7q7PmV`(Yh? z3)aIUuo3d9cr$z)ws%t)pwI#L z!A^J_u7c;_YUt-@J&U5?8tMsfEt~?oU?IE>*1)X55x6v3w#J}g-^l9;2yXQ z9)#QBN3aim?PUIUP~c_Fd0NlvqQ@`+2$a^a+`$%Gp7&JyK|||M~ab3WD+tJnSv}pN|Cw9WMn2H_SYa{ zFM6>rK*Z--L~b(=5j)WhwI7V{LodGhnmfn5K{}N}WCqfJl-MGMIY=Hd%_dG-o~rOw z>pg0xFRn%2tK}tMUMAKfdy%8aKae2yxf~*LfHWiW&iE*@2RVd%fs9~_UX2tWwa6-@ z2ib!hL{1Tud>eL>biAE3^bj8bK@ z<0a}Xv+r{dPj2MJ`xVwi{IKV8gWMmYz$0!S9=$DW!Z1S+JAC0Hze`eQz#>`7S zz}Dy5elfORw#~uM4xiihw_En}w43pk0KIm@YMU?HfxfhFlx^SOoxyh7@2qY2o?V}# z4wr>@yKSpEc5AlXI?LAIYwO*%zR9kSA8fbvE%sfD?Ot54^>b~#ypW>O7TJE^T1?b- z40g*V2ajpU*>Cc8+cDUo?weDsCe{aX7-%}y4*yd4p`pUD^ z6N`d+D@Uk9<-sbeBC5ACd;sXK>4YkC^!t9OZ<^a(t zczl7wBOiM%SxwfoXgkJc^?ucuxtlpRFSX`gZXLl4=-P^g_Kv2?w)W1-hW3`u)eIp_ zOE8CGwc9;wkoRe;XFaNTp*OO}^tM|UUvBjeRJSdR^!Nu3x#d9sSVE>&;n^|fxQjnen2(Y1wv`v@Y}nX3kt z*WMvtJI^i(A6|!s<69EZxrVLVTro<0TIc7KgE>IO)Q3BFQp%N*oXOGkkxn^}{Y4Rdo)XJuUi9zMC7YN-vb}zy zgKxvz>Sqtz6!`zXLO1?>7!w-YoS0h>V?B#j5uy`mW&_EV+`?ex4w*<6!Z_qD-~5o8 g4l~rs=KDhvC?z3@$V4O=N$K_dwLj~i-dmaVA9d+gJpcdz delta 5066 zcmaLbd03TI9>DSU1@2|Zs~{*|E-K){DmyBuC4?-BvM4Hu8zv5#qJXK5%C*7LQsZ*4 z)l7??M_Vk!rfk~Au_x!rn(S#>=Fz6+X>yrHaYmb_(&qbn??IXQWA4MbpZEOE@}Bd) z=Uuq&)292h*_IR-W*XKft2^5Mo_W~E?sM4Z2lKGq&u>U_^s#Cwy?*bGVRvtQsPxfG zr>nob9^2L(8I)uXD_R{;zB_$oV_e9A_g4%H`E=d$kMHlw?KInii^8h5v`_0Oc>CH6 z{}JPg!gt^H?2+7~Qg%2jz#eqm;oakLN4GiqqIoznz;3HFryks-95K)N4cl7Us$P$Y z^Ybrpws)V4Nixj}HE3j_S<#(4vQ#pjQ5nt(x{#p_Hhe>lu<;YgNxoH`8FinzS1lbq zj_)T%hnpvfEK-AFW6f{Wq}UX`SH^~$lhxMPCTFnCJCYM#tZzd0YPB_P#Hb!$!#L?@ z7*bm8b8~;G#5zdN5uc~tkGtI=krN~tL4X<>-{jnZ&fcREp!eE^oFbZloGPHsWD?# zINNk--?l-NUQk_Q7Mj5-BstW63;FMCDj~VfY*n7*P#cx)IqG2YJm(ea%E#r!`es?! zm#CpBbDZaBDW765)^~qOH>;f~F30z@?WBg3ZdNa+q&QN^?IVpQ;!&4V8lrQIgb-;q zSC21BGWs)sp59ekQo~hGVX8Wr8tnW~*GlZIg2B`}tFERlbmr^zI)X#q`=N9DK(#V$ znp5ie7>7M1m1=&oQ#o}hbeeFrei*4;1tZn0xU8aP9Yo9 z2o$NI88f+L)?~QKrx7D$Oh*~i4CL?|r8or3P!3f&GGK#?(Vc=bF%@SaJ2Wbh{*Bq{ zOvbpDZ|G7EOfwN#cpO6GGm?C&s407#hqA{Sls#U&blolW=93?Y3sCm75YurnW?(H& z#U;q-jiopT>rmG3GQ$|=rgP&L1nAtT$JMwTn{b8d%A969z*e=&&T>_)A}#}>jy0e) zDCe>fgON7w7-TZ;=Ws0^!n^QAT!$~=dOVIB@LjxHy^uA*j8W&aTxpw#$v!rtEHRm^ z^xZ;UChg`=4(}F}N#CQg#>R60n?KgoaxXDyyA9<)ZO1UY9|vjW+LAtp>ikH2fbvne z1IOb|%tool8nW8UDQhFnX*4{@!86*CV`s^+X|Wot)&VAKC@L^nqXTJd>_!?}^&Up) z;7NQ0pT|e>O?(XB(#pb@fyjZ9_y_nn{sFnP+-LC#JST@pDqhqTzr%eL_;N`0V?92F z%eAXf>No2A27H?GyRj2@;xo7lrCtY0`#s2-a392jcnzP$>-l=a=HqSPk5bSdSq|<2 z$SmD4_yUeV={O#xUK$=!+2b#9W89SEiti#um&RcX!fuqCg~Nz7dT$y5RA^Hxvt2E} z*0o>3z6bFkUQYfS7>^uxca|=f#qc(HSq#6$N%%XQiqd`t z9w%RF7!d|1$~}|736xdxdz_2!spy<}<^`G3Bu<_+B~G3-r4P|NrO`L0^ciiwvw3d| z`D_P}f=MTpCnvO}@6`TCYnj?7C{v^5e@*Rk@-nqQp-k;G_MO@p@-nqAP^R`3-im+0 zJp3BHql+SEaNzr^WfQW^9JPOfE1HF8&E*{Sr}R9^)pY^m@NeqOgyH5Ab!~zxi#2K0 zy@a8Z^3#T(V{m}7&1(8YSM?R$@~Uq6FO<2;;Sa~}$q&JQV*>twi753_ zv|~}~%UpjVUWnHWb!=je`L4P)(G_o)-r<=zoI)S;4r}U-A+0BVR^?9mWyB6Y!x-rA zJwd&XzlD^#{nRIumbYwSGnw2jWCU)mDI*n+U>b7njdbK}7>w-3lk7VA@#F`XhQUbP zBQXclkh9{x6>r1YI2o5=E^b85mU}z$1Z#0W#0FDzAHpJh3ybk%WaYZQ!WnoGOOYqF z_4EqIa`H)7f!R143vdoDz`59fRoIHv=)nbe5EpV6a=*sLA_5=bV*E4KVh=9CYj_6^ z^7TG@Qn8+VE-uF^T!D3XC$7Vl*ov!g4>sZ}*n}sr8PDL_7B()haTorG>o9;b#`$wc z;YJ*fo3I!+<6@L{4freEh^;6uv|I5Rl!wb3cptup+we4Q$MbkUnq0OmoOgFP8xP`e zY{M+{U?H|+6)IeT9k>a1vX)J$q49Uz?~eNFP?7)O%Elgdb|N!v*KNUxGk`|>~32WERgl$|TzUg}Vz3u^6Q zY|eG4T?NTL@MghapQR4@8}7@91LC{jMD zhP0m4MtWX#6*t%ivY#wQJ9U^36ihuP1Wl{69jD4?DtF2Q?Ilt6aB6i@t3*axUy@>X z5_r*}_Lk%f{NIsM??OqQ52eY|$2xlGrXS~TBx#~rGyUNfH;4Rf4(VEE#ik(_miRd} z#iKg@zK#d#_*$Lc&zz**J34+<=c9GIa-Gl8`T4q?TsO39F*fln9jwt6U(g-Nl`Zkh zI=@|)AJz38OhL+b_vW?J^^UG;ZMxkQ-H+Vbq+PZZ=L+1Q3!=4u)jKZM6-VhE1@;a| z$K@45I+~=*hxQIoJ74$b(Vo%++NsTz_Ovxlnd-69s-OTiy&v^NX|hTzTV(pFl(Io; zNBIEtQdy=-stxdzgsCsfLR5Zvq^B&r8_cfTUozWg1(*Z7184b~K{3Xt5b34ISIw@B zQ4=eJ1JiXVOnE9ZBEqcUrjAXO&sf9gZO~~`UsT38<=rD@z%h+<$4F%@)F}6 zaHQH^?cyKuHPzuh9f9i2>Ii2?V4tzn-W+O}x?DZN85Y#1bji&DY*StqiE^+Sq(Fr8|zms>oXj0nI6v4o4tH{i?q43zNtB{VSQo4tcH@sP2BHqOv78Q z+to?jJB^xt(k{QgX>~(v6aC$2;4SbrNaNYud)zf3q2YJ5$+nW1WHCYYIO zZ%u}or9R?&tg_EfG}Bf3{0wuPs+*r;W_xlEwJ(S?JJrwyg+WE!XXLXexO>%td*pYS z%L~K(d6*g{rXdT+wwGmEBvF2I$z9~K$!{npByWpht)^Nf6{?GpR?dDutE7#sYHRDl zjhMV4=3B8GZt?Nf))KZ{*4AdWie-xz9M58x?JW63$@|NT3ok9!Sh5&xgxXRb8YtI} z+(!ddbZxlYKZn&u*gOPok$_EZp8?iZrxo+mhT5eGcd}iz2|oO0^;&I#ucs`sJ?Q`a zKvs9f*L10n<%!vu!wlmH^IcCb&7?A}OL@r5VpfFZ{m955F>HC4lJ_WihceRC+T{;~ c$opLaDV~%>8so{mWM+D=gW%acrl&0UKeKwsJpcdz diff --git a/Serial Observer/Serial Observer/bin/Release/Serial Observer.exe b/Serial Observer/Serial Observer/bin/Release/Serial Observer.exe index 806c7553fd64ed9976a0161bbab308c2c8da578c..a264ce929591b2ce963519898a6c66812576a8d4 100644 GIT binary patch literal 16384 zcmeHOeRLevb-y#aTCG+ewq#q9KQ)#yUdy(uFMnc#rIln0B-v>3Lnh!hHm6UYfoLPJ6ta3Kwaa>xm#CSMRp+L8bzr}-i$(9qHXXvFFaa_uY5zefQmW-jLCGh-U8r3yTU#X!7 zE1p_;RvCP1<>;}5tz|58($Z5}Oi!oHtTt|FRxYh2(pvA{sFpJ0Mr(C-=mJ;tzCNNs z#Yg3>j~({3{fwHaQfVXF3`)?UHt)w%!+jJtTc_cnI(DN(0S0{Cfg5zbR62SC(R}$| zc)F;=LeGp6?d9@OqMw$z#Nx*^Q5En{M~Rjd(|=Q0%bPBoLEw9e+O%eklUd-$HUXec z`s&&ZOfC&pwOY0n117c|MS(lI6?f6K3l3?ujHC%hww0!Fv#g787hSuEdWujf`q{6z z*fy2Q=xcC$awQ=qR9XOS;Sr*YA}sF-ENJsP{$zRKg+w7WTn9O77y}tlwLgGe+AavR z7ojd(&kT*>27XweX3Rz|Hq_ULtC{~4B>3yi#UQrO(zYO7;@YCBr!ZQ6a|yFM#ib~k z7^9H6oQdiwxXNRYVktwof)%Kz$_rdloW&J#xL~~}ONz6&DjhC}^thxriwlDjuvfsi zYtak8dWxah#KuZdeLDa<1GdI}Sjpu2h6dLUn4f;LnJZQSSg6fdlK}NiyTX`Dp$ng1 zccC@K)V~nwe3!b&T+RHYL~5b#uEKCGfE|2eFbEc-rS}GUkD_aYdOWew( z`O1xj%4HTt0%Dg3&V(^VLubr(zzg54d*t=+o_n1B-Cyj~>#1iEs&pk*h+X`pR`n0_C5nDw=>n6PY@6VR^$9mF0ntB|3iQ z%sr=7Q{~Ov`PI*0X8Xfiz*r3tD=W%Bxd0x_&(T`H_^3!y1tdMIp5p1|NLu0wg1=`8 zDs$K*8`PG)i=gtnprtooBtureAW#>0yB;%8k(_~1Yn(%BY?wn@ym$_2$&%S5cGNOB zYC(BXj;Sp#>L9!7dG!=$3a^8nUBSBG+x1$JT@4FnlNuM#AuVp4P0AZl;plNZR59C# zmKDA*7L`!Tn?7ryiqO~wWW8G7;>2InB2h7?{7OfG%!n zY`IlEh5YLGNrG2TA&dI`ZW+1KU*;tK31_-V`tz9xrqG3I82N+e?1Hv3S>}8^XB%$r zmT_&`@HrEnlvu3~lw%N@=7)EH5Z(!JCLgCST1Q|{AdGb~)N+kCsSBwV$u87z$lN8> zzV!>#Q&8hyU+FweC=02+a1U26X!Fra2vxpRI|9 zB8P)&_i;1!jay{bxJCAiYWFh(=S0=s%|%X`s=bGc$d6tTUh;~_XI>EtvRA|!kD_Sf zrB)3OK!W3m2J_NB)$z?4xMYFg0Lb4vLArC8B~uyXgaSG&9-C7Bn-i-M|A}qMXUgUFFi`j)HQ=J>^`6 za!lY7=*9~bm9?&;?;^dl*h=;s;SRINQd%k50I zUM?tST+8wxFD&-Fw61Wqa|UQIqvBI8Lu?r$t#~w(x9a*?@La^o(?W+U!J3b$<{D884}+z-qc*GLufUw4rwr5(7_qr)4^qJDTh&s zub6Cote}JOrjb$|LYwF6kOp5X=-{P8`z~ysGmE&!`bj~wa6ZJNRWgg2+%(^nE^U5Y zD&31Xu0zCO6)2uY%|wsx0@&AW<9#+1KESSO2p{B!reV%&kMT^9SI#S#7(T>M-Y(6< zMFj3x34y&?Lf|1RA#mK35I9&%2s}$l2s~{|2%H>B2%N1-2%I}h2%Ni12)sU&5O@tL zA@Dj^Lg2NugdkfV@rTtBz&21qPKwUtuMfXZoOJ}S<#6~)JnF;m2ejedKzNMFE7b5& ze%^l_-0k16!nzoeo`GaFtb=ZjGkXkRn(KwBWyy7T_w=Lbv^4bwAf4!HZENjp>uksFm8gu8fYb2Ps_Te;f#)FnzABov z66r~sIkrO1hvAe}`=j(I&I~Y;v}*VMfnGeH0e(9IXH`#6?OtveOAycRP&i8|JmCkSaXYWf^-eE-pA$B&0KMg3UlE{VWen^6 zZwBYleNYjggZ|H#2k3c$j|kjb#+0Q3y9B0%9`RSB?e~2Q&j{tT|CzE7Z77d~YUu6C zhXFqqd?*m2zp09ZLi9s{uc0Cp#c3Tv;02tRkrN~*b}A45#1qr4&@q}2tFLz>r&2&%_l3FGZSJsBt07T zaW5jomQ;&99~2AUs9I7UqHjQQfIb*vdvs_FP)CsA17iPvvEhzNwqc#fIf>dj`aUt` zN!Spg)sXNweMzi30*wKBTjXQ~`l>&V-gTo#Ji6C2z=-p|`8bO?8|;?yG+x?Y37icY zIB`KDLS3}ts}+nP>!I$Kz`lg2!a9sFxd|Kw%1cQFRs+d5&=O$0OiiHfPk}WFHju{@ zs;IIQg{FNc0Phc71NctGb$~xmKM1%&VHmDv_%bnE6lD0T(2amAD;YkgF#L-05x}39 zeGKrW%HIS0D}iSPuB&3oLV+vGZ&Yfjqx@FDrM}Ms)~a_Xov6PH@Lu|&vQLA7bYtU- zhzW)66KsY~1?~gS`ev@1p-%$y(T81Z7+4tvS2=ZYVC7WhVk5yXD;4yzi(RVRr&Q9y z3mncTt1$XhexZZSQ@)~9(>xbztbRnP$=Ce^J)B3wZe4ls+wlK&E_O@kN%Z0_7yA`z z7Sf|G_D!-O~8;U_0vg z@`DfoX@ZbyVFv;)~#q5lxc zYam&nzsDk{QYEshkDd}9xCrz&g=e9ZZxQX!3ulNEGC4d9Rk{e!M_jAW4V4x2Vo;%4 zzyNadi@^}B1)NXq0=Eh57C0dAa)E~g>VS(VA?2$CP6=G8u(Y*+OQ>DS+XNm0)DWj! zdlkxSP`Za4m>w!Lcg4DpJbQ*1k0WU`0 zxeXEyqkOw^lx_q6B;Bd3hva*d4^^e;1?p6p|F91^l+wy6NKRET) zojy=?1K{h(Ft5{%WeopG>859uCqf@Vt6}xy^okOxz73RTLU+NoPpe;toL4Ho1=}i> zC+H33)vBlId&)82kHJ$_{TwV|`m@TG%2zOxyXiHmP}}I2pzJAs3$&6tXx%QlqV6NGm7|Axe1cj zs(*>mX$Q|?(0cg#Td9&304|_qfJ=q4ih5CA2f3}(DexkJ5usm3W1vTc@;-qvx*C+@bSI#VRc=5e zUypS{@m)_JrC+Pp(qK4Dnde#=bl&rWo9(5N|( z&f+;X7R~C}M6BDg^r?Y#B0D;jF`|iUjGbLw=c#PpOgo0mcrIyNOgr{ji4%I(7)WK3 zM#@ODJTu*EWc5VSzL>gux;nf1JNkNI(zebn*xSCXy{{|M-rX7L=t6CKKWaN7#9I3) zqg%GI&$P0=dRC{HnHo2H%#&jok8;d78Rwdm9W$+DVw}3;@j|0$#xUZgtsIgq6?!~A z7CC0bjvMjFF+DxWyo|DjJ;uqtbk^YQ@NAw*BkPgKM!7Gok0;TV%j{o0n?);+%1YSy z5hG?KPJk^sm5v>=%ryEJC;rgM>i9WoMvZiw#>R8mteNIc3+|xOWx-i})QFj2MP~;S zc6K0Zq=t;_F*8mn=Mgt1^jtDKkhZgWI%bGz-MOq8jp<1PBV`av88U2JpERg19Un-a zFpnG5V@xK}Zh4?LVP{O6`$i+VbT*MP`V&SnzDG~T3nUH@m$KWCaN($ODeRG6%gpqe zSEr-dDYWu@)MHw4!*Xa5cT@`;!+Odn9X6rBjm9XDKXrlu_M6VD;k%4#w4HafR2WAH6+bST<5Zp_NyOv^0^J%)t@o ztnM}Jn3c$sRyrP(79&PdKPe@9uIzml@>483w<2O@rmV!|v2#|XGJ1Nd(8iqxtP^_1 z6Ujujs8*&_BF*D^g5#2+NFRDq+dGb-LB4G@PCBv4sjV<2JtUG$AX`dZbjrq*ZgthQ zx(-89IcI(%$UW&8SS=_fFgP_Ij+Z!6B2?`kYtGYaGYi( zoG}W%o{u@aoieGG<8hlas_aE0deo2GSE|(Ylv4Go|@6$`qSHBx&dt zVZF1^oiviLICI2Jlkf*t1dEDSEGL;;Za5d$^qqi#`D!OI4oz6flbX}oD&lzfW0uxeuh&t@#5MzQ{9#UZ`U zeu-Vm0l~>VY4AQ^M5YKv%i|d6=Jbhy#U=pz0zGL?x(FhIX}oI&8CjhrNn)dY$SaPb zh>4vPca!(ul;k`(27kz|mdA_TFpDfZ)^pG~2!!m6wiIoN)pIbj^Up!Xh6YQRBPEAT zaXLPzPno$ac33vDkBjUxtO;a%7vs6du94`F0#k;~t0{U606BJSR39HeYB?#sjbfJ+ z!`8;3NVS=9kV2|OLUK0VrT!DY$O?dTOzy_4h(+F0M2okFATu-8cNNkH`c+7XPCrXi zqD#w&3#c!ZK?A;;qIj#aaJr%6j9kO{^*G);nQ}rP^$pS*GAZZcS(Gf)XcR|DqYUaS z%A$ldUYX2IGl%1mQvRNDs{+r@Q4**ypz4K27YDZu}x$u$MCf*vLmmS5e*T8iK1~Cci*09sJL_pD@VG?w90o%y~$K0uR z?SoP=ERMxzi;6xOm^9wP_o7`Klp#p8a6rKn9vWKgJolkZLNxT_$-{{^_?1bNIV^fm zdy>ZT;W7w(5;e4n2R4PEGw1LOLlav~tKXd`3W)~(!Ll{)&b3c$PQVVt{CPC?f-j5v zd^}NbVDAB|#u02;!O}%Ni=Z|wp5gJP1*JF#Bdt4k%Ux**QFT1ew@2EsG;YDs#_>d*|MfYb zY)Hblg7b3xHM@)VQK z>8FJEd)4Hxoqo2argr+d+UXb2KrJg*Yig(bh{CBdQfihj3u@}Jy6M-KFAL09X!#2A zg_LChQfp9ETOL|o6RcSt3_=5B%(%wAf#%EE=HRjlQlahNYG)Lj#0G0{$cmQGfp#!t zdChVkNWq#QYC#dPj*yvJF?41zTU}KpJ)BwYJXW*uGi!xm`kOf1W#RA-KwURZfa_-3 zYiBkI)3$Onuc@2aCgh!UGdqKScFmCki@SbyI{3gPV;`vfL1?=#P}hfh8SVkxL%1); zy&v}>+*jh({eimaI~m*!Y@shudjW1Oz#gqjIFB56xCVm;U%)iS9X>@>RaBtUgu%H} z;NAGb2d~@>H`Of+X}-GY(=~O|H!pKKbh<1koDA>w11`k(8yq|1TEQPnN7ed+mY%^; zQ2s>`$4%JGE8tUwZ=pi-oZVvnPy#;%P`Iu^p}PE0i1ye$n%2?QzEytWe!*6~eRJ2Q zj`j_m+uHOEU0XM|Z5Y=#Z`!b}qoZTf=D2QbF(&XM1BJ@l@f!pDBN|d@S?h4$X#OZ@ zy?cnU6Td^)+=`D}qcscjm3+RI)TekyyO3LH`5H~Q{cPecg*v^15%1-zb<{MIb}NoN z%=9D5ovt=@Z8E}k%3ontWwa$l)% z)RdUS8AP5C#VbG(=buT#J*qRUV0*r|55l~szw_!h*vwmSC+FDd8O0};hP7Vv&a2mJ zo?l!u*K6(@{m!(J%UXJJy|ynmjuVy3jHyu^(WZBfZ`q=6if!85j^lHqZR@sGbCo%# zwVqCN${BuGhHGDUFaKwo|F_!B-SGY_AK@cX`^cq+p5D-_r*W2jq}PneA&Y%vcOttdH-5yK9o~V2i{>ZG92~Ev zM{w+D=(aJtt~C=MXFGqJu3wE7tf|A7EYb>iamo9;Cxx)r2%X*hJg#+ z3riB)kO6FMC$L@N>jL6dqu8ME3zUue!W*YBAPVloWK>`iZ=IZN@mxtC7M?cp{&isk zi3J0{uwZ}i%Rwr?$-*{BuI8e;jOd?2Z{3$6muC+~M}Y?%%X?)L<-81LWTVB~Qof2e zXy`E3{cYb}Xkk7BWET;}~ z22q|A3G9gsURKzXlXwZqf@dyGqkBlhzYf^cj@M+uFQ(X!1v$=$@hdLBc=L;@=T(kj zwtcUwgGY>Q_xjG5yl(ympB4JBOUA(2;__(-zwi~0)A@Zt)Fr+zZ9jViX8V7uwCP5# zZ0X}TdN2i#{HAulh!OqVnHKOG(W_s5WXB~ZQ%Macb9~CVvl+{Kvu31YILk~=?rh#a z+P`6Iv&LUk<2d$98#|k)47>S~i>pJ`p&h#WtxSW6w7s)AXQj8>_?n#3?F}h!)3E_Z zecN?A)q0}6SxaFFnlS9Fw*e4+5Tm3B4{O%;8_Y}GTF z=8cYotd+yp5PTGPkM?vlOFQVcjaZHk1zj8z%eX2BJB>Jh*~boO(y-s7)J{*ThN~b4 zi%BfU-$4eA6Gl=?GThm$+s=n)t69q>oHOH{%@cakHr!5$kd5cC#xs3miS0W!=A8hB c9UHw7x>$z$y#XZoDsWzaeZ~J@|MxubpA508{{R30 delta 5998 zcmb7IeRLGn6~FJz?Ck99vSfF&yV-nB2nmyfk3f)uv`U0l(2#%#8f_62QI3{{P3SQV zFuSF4NOuM}CiOZ<)^BPT~py(jNKQi;=M|uz5w=VpC4^b}@ z8;D-@9c+w+vP2>1kE|rB^|}_!^!mgM>%bgPHhw$2&x&?2&-=cZAr^Wk#IzPi4JTR- zt0W)YGK=V;dLj*4l*s7rCfX(tsm)s@^=V7t#y`@jB(kIvfs1tfjP}W}jAUMS+c{r?dYU>AkDjqS}k-$>k`PsOiw@ z+o`6(Fw|# z53mx!_G-g_Td3>=nCY`%Y4Im74f>6nx6FGj-~3f+9@P-MiI>)V4ChaG7I@o@N;d^Q zqN^pB4Gm!E%lTH%53MeYjH!_rGX;}32K=|gd}Svh;`<+g{1}!GRCCkWY6lWABWj#U zxafJIjwyDbD$kWsRmn+IDm96!uAWG7X=+iLn7`~;NBm_gx=LoOfA;u4FQnNjmh z0!MEwv1Du_Rh61VrK%=UV_5{`(Aa$t36y8yavE**n)3nFwC%K(sg&6Ig-~Y7M4OhW zFR4{fosyb_+EG$dZPjg$O&Wf7$$|aPE2*irsB_of<;+E4*iAy9>Hq&zxO~!+!SPxwgsjtzfz~-Q!&ts&VoC zfSzOqH#ibA`d4uitX4F)s#^4nbR-*%j>G{2rOkbpCV;Nz&TK#8V0IF~}na_k(D~c1GevzE!EvyLCOjZ)iBpr2J}=MpBJ zOBwU;$i6aySCTF#xL%5Ii(`2I#<_4ujU%{!#}Rx+#u0q<#u0qJ#}Ryoj3ZpW@bXON zdQ{Ho=CqZV@3Mq*nOex@z_!brkK&PVJ_hW^(hX-l)AiE1f}aoW#&xUDs?XejNXHOa zIvc>JH?sScfGpdUQ(N`!3dn7<>Sb05)w}U6q;sgNIMlj+^tj<{f;@nvWmZ|ehoj9O zT8Kh4?IyYd&wEhFrZoeZEnBbY$39bcg6K(1+_ZKLRp5&Sp{eQowJVn4X+yu&tBcKu z_dvs7!dC*}RBKI}47tLkSkJLI^&7D?n zfr+aXEDoyGSd0~FH9ZD zC2>`dlW13g7Mq;b3&hN~k%UFf2=O=#sI(d|r9o#@paF#&LRVsaUD`q~9gn+1W*0uV z1!YAAJ!cG=8)+*4afkLu1a=2lLo2{`1lj`>p(He-1Mt`gziN`uj^i-ps1jNX+Gg0k z3$0#hE5C}ff0F|=!E6#!29WtSY=~&+FNxuYANV;rR8XoaTk1S++IX( zj&?!SXs1$h)C0{&|0-y4^8w+fmzvl$M;C~@M1b_^d95{MP>}xCoY$s`!y-ukDQG)F zM?`4M_8U4ZrqDSpdCzD4kBcyE&1)`QZ2d$`rCSQdzoXPq`c6UnkMB7VqtgZLV9-EW zQ!_Zsle9sLLtCh{9QDEmeHPmelHs2t;?%CRJ!QtI%3iEl`t|(}(ru`b~=7Ks^ zI}UBD(!OL;@C}im9ZJg=F+sbOcChLJa}I3$qp1S-QBiwJ^vDXr9i?nfi52psz~3kZ z?Ogf3;7di&Y4oSOgnofLtf2fK@?12Upkdr`g8r?-y^U~!?!mi3(l0FUma1uD#QQ;2 zojU?b(DGn_?luKFn42L5w5SzWL30%@QrM+%g~HVe`xI^j#%YU^w<{b}m=YYV5m-&F zN}j85HPA-K!0OT#C^Mkk@0$g@PtK=7@ylQZjnKaWi)o+uYp{!Q;sxWwbd;oV0qw)E z9-zQIdJtp#Ab(X{LBsIhOvBdw`&!2RC&7l z=v_FSq*sHV1|G(FKS_IijDHke^t?D=?ZdDh`8j%B+#b3WjAwll$=xa+Mxbv6oqdIh6CiEbHI*5}1(>rD#CF8UJ**+K8aC+PnN4ZC6s);COM z2*sBhkZDf!?l2bz(=gtv@Vg3+C>#_c=;(c7FD6JyuHT?&_mdbD&!M>n1=n&=oD2$T zl>4zYt?=msv&`_r=ddr1dVE0m#a!v$ZHC1nSz}cK8)c2i(NQ({oxn8oW>7d7@a2!A{^0{R_oWl~AADE6)*q@}r^i#UgCVO3?>2XuEtstQD>F6`C(+ z<30!hV-yG0D5lA!4?$_Ci-4U97b{$<_zUS$@M{!vxx%Yx8<^|pHef&9Emx?}duR`x zmV0PFodSBmZx(P5E!KXlaz=ORGvZn}?NCmn>3|H#8z?w>e3O`4EI zEkVDOxJLND^y07!oMIp3B>AZuU(Zq635=1RLCcqQTUaDwAW zW1{0VqF!;#a}*oj77rEVC6N6{$;8)20KZq!H;6{>tlesOZB4Vi^-asX?>EIpUu@d1 zPhWF&X3NIwSEVxp)L#;>-_k#DUiyaf(-)_^H};Rd?O0;;RO@xR*V3^Lue$wT*l2xa zb8PAUn~jR!zmbc02Rd5ZJAJkkrfJwhM6%z@KaTipie`^$HkrCDYE1}X%l3(|85uMq zyLd!x6}v{W&nVZQPa!>$eK8!4WM7VCkHcU3{4yNbfx<<0pxWWOTGN)b(d-}VYK;m( zb@ilKqSm0fG7MXV->M6n;X2dA4B^PGa6XSy7+x1f3TABp@84+ly-4=o-ln-7mrhZ$ z=i;17D5Sh|sr;jnGs-n9hwP*11A&eZ5=n??7rG^yn-$5;RZeI5F+3d2ou%kS(cB{M z4|C_ax)IHOjbTJHqLrEvnT}uE;8jPj&Of$uQemGhkS-%0E4<_LANF3G|H#bZ|AC{2sTM8s z(n|c!A-ZVIvNh}LE_M=!v)%U?&$o|W{d92jr)QZWT#H67{w(PAPAsUFPOo=*!Mmg3 Yg@5q{mgN6)eEGSfk#pV=2Rr=#1p?)Blv!Fw$FLIQ!u16+_DWs$`N(1!>J$R>(QCMc#TiVK!aCZB0zHR=G@ ziaKMtluMn-5SyBYGM5@FHJxE-T8*` z8+U)RbJ8C&UhE9>O|%7CpWXU)#J6kqtZxr`Z{|_UrmRx?@ebEBdfR})z?_yzmeP=* zm+#)P@#m`x+pg}5S@E&79SHQc`EK?z`_wl3b?PNo^aEkuHtVJfEfo(6`_Sh-m*n5R zL39m`_5Ae2^Y?dtJv33*%f!H833^$lYgn1Av_{>dZxKGx_j#XWbaH^=Vo|Wz6`h?~ z=;3Z!P0OrUHO-b{aXV^rS2Z;?E}gS-e$%RD`Hd@<%$d+oKX0)}iq3Wn@N~x>a>M+z z5t}M*Mn^`K(DDUDT2obPL7IpECUhSnd!$~tViq{Is&*3kwBtoP*TvzO#e+xDqkYURw#|`t-7qhQb zOpBl9FqB!rH21??DBg^p;`oPBC-n*LkF`vsBusJCFlYHhxnX|Vv91-{5@tD0E32cA z^){@#gnweS;~+znPv2p&rEFbdU82)|mRJK1Y3&mG5|ixxsjWcS;gsBLBD@`-T6{KQ}j z5$A?aadB@aWDXmhk;HSO|0AB=JPjI8N93BxeevGvu_&VIZu4F|ZQG!Kp9{PJ?o_t3_0DjDAu~PIkIx zqvL&QbChollm}uSbiun|30wf>oEO1bxESK2HHdx53HD)>+((zy5*;H0{Fh#$i2Pf5_Piy0}-xb^7dh#!RU zaHCmI*Zj2)dftO42R}?~OK~=D#wvT-0_FDjEtG3?O0WOoF(-~ zmHut`Ec$bBHyp!@$JfY)(r=uq=Ruaus8;$}N?)r_(6rxUSc2jOcnI!?N0j3+Reu|H zpueE>mz4f1*ePzN?$n~PgLW-jvvUINT4v9V zk|WwBD$<=PKj1;e(Eh0+|7Av`;vL!JEyvi^y*A>rq=3|0D(XkrcmMrFJr-}NPgEpl zXu2*wPmk7b37-sSxJ}oLaFkxqt`+rkLo(1pge#*s^aQ6W1Chx}rZ)b|t*yOb6T36! zHgBNFN*Gc_FUFIQ6*1UcWG~Hl5hlSuL3Y1!7^cCKFdedz8mGay4l`jt_Qfa|3|Sc? z5@y3pm;(#oI4C#bcus+_fI=RMm2e__1m?phVF7#rG8^MnSOVXIli@{J3crJ8P`9|# zoE=tCcfhGI8BT-aA;;6Gg41CQyn|C{G*Fn0Vm-VQ3OEPufin+eE1Q(3toW> z;4Qci`g&+uJq&^}7Y>I@VIFLRRd5+x441=u;EHAn8!4=W0ye?tAV+ zTi^xAzA(OqE%0Z!751~bv)>^281*FhIGh06VL6mpuLf>|4e%+r9zG2P+z$6xS^pgr z4x-ozkHOvWG?dxwGTaMqK$*q*v5%jFA@F$^3-`k`$VN4ap-fh@-~reOJK-955N?96 zz;^gYxZlS5ze?c{3U;{hKJ0>*;A`+2JPduk+}SA<9;KcFkHH-HCM<<-!I|*Sa5;Pz z{u-Wun;|F0*a=U;PWT=?)=c3vg>&!>{0jaB-hl5zZ;tC(=z!#UBOd-0j)fn<68IsU z4Q0|>3O|C)P$s_?cmeK!7vTZ;2|Nlvh2f_tT%vFueg-eW&*4|_3-Rdalz}DWugQpH zsbWFr(a|1y^JuD7$Rwm3$wbB?V~}Y`F;anyLS)jE{!BF->7NTE`I@*t5e`q_<@a zwgvJ%a-^S#&YEi*NO6px*pgMG2a5Aqk+vWd^ZkS+I~T>o>_}TMip73nX?Ctd#&v)H zOxC#W`MFcNm+;RB5Ert;EHrg1yVODhpX7#$x|{(%i~q-`B_}pw6eqX@sY4z@wj*7L zynkF{jPZKg_`$XS`U+=^<0C8}fBX>%U)M~lH|H=+bW{hlmTzdw9c&B1aRrXKa=`Vu zkpZD7R-3&t#1Zr6H8rl_VmAEtzM~(8iJ(t%<1MRcc0z_djb>%eScn+1c~LWAZ*vRp z;5ci%3V({)Hp-Gi7^@@)*yI_d4<}mcUsCm#Sa(_XQTov;ZitFYSFBh5Un>7~ZvRSc zInlC#R%N(X@io=ZH!3h)b#SuVuHvpMzjLZSS)9oWZni70$;z6ptP_>~VWqb#{d`rA z>b5I=z3O$68pTbeuTc8#`|@lR_a8SCv>n~UQY7t|(_#r%8R?+785Lzi@n3mik6&_ET0T{ zjdC{^D5oQi$Ha&=#oi9H*6o$4Y28h=i*3b`yR369J4-F0@(-Zi?KDc>3*Aj+*~CZ1 z5soa9e~)=|uX(TVFCP@Tx39HU(?V5nh?giT4|Rl)z+QJCTU;rRXs#nQ_cT4fw`qB8*0sX`=y(6Z>-zL9h;I&at~5dP4tz)dG#xoHLheFJ)ODrDj=n|vvzT~BJ#F& zGfG)g#rG8ndYXu+94j_g_7ioLae9W>QaMu36h|r(^pWBUGAb(W4J~h~@3=MZ5xjC&{I1%HdmJ6+Gn$v2Y2wAtvUw;mn zrR$Uu%t-kI&1Dto!5Q*0?eZFvW0negbY+y1q>c;2kZPxO7j=i}Bfr?Yz2%P*5vEUw zXsvd7$#39%`!>fFPJ#>)%L@X;rD{*BOypi-$n;?A7)lAUBy)Jg^iZqJ-=-;I1tnTA zAI919Fhh+bx?*~~g`eV{nx5~`=KKGC^t24I~%{KQ_b=0w$m#ArKM54~Yl}go=vh zNznpTOLBpth=Sq>N<|1ArD_G#sT8d;)q)*xw1chHiqKIzbVU0(Z*Ktoqi=Hd^X~VY zd+vSr*S?K?%Cg_KHstw+Dy1SVKDdIt*`8z8ewW8(eb;NbE?E0Lk&y#tWFMI>0W&k2 z@{$kOlXiKI7xvEkLu_w)Opzz4^}e2o8*2|;Y={~(ye?(am}d`!4(w0ip0CDq;T zowBKH(XzaRUGFT+OgM4Jo~=8?w?E12iRp-Q+Eg8Jz1HNj*8X&_$Nj*`&tJbs`vyK2 z88s=jN53*KFEaD~#x=d44J@*(ar)|BvN z29HX8EFzrxwJ@Adw^E*fUX(j1aMGpJ0wTm4;9jaGtB8aFXmhb=MAyH~y(Kj((y6Kn z!*D)5!$MVkV zB`e1-X<9OA_VP}p_XURQqGGR=t`84Mv10Z0#W$vIU{vIKk6L{f={@=SVDZG%e%!f~ zNBtYuO5?V8OcrY}QJ0of>CTdLU$lyGA`TESPVXr3&n{NVew|Vf{s|6)pTgnrEF1y9 zhofKuqsH^viEs=|g4Hk=j)VEI7FNOWa5Svb8Kq;`EH{<m%TlyPm=yGwJe!}@rsKh%cCwGsViM!(DGwo+Yc3l5U2N8mR2DBKPu!IP#ekNz0C98jXm z(vY~La69|}?tmkhTI$%Npu~+aSdS?Uxk^Fr*#(7VK{^`Pi>EYZ^9~gRDUw8#2Tp+%KZuNqWRQdr`_A|RqIjZ{^0wL zs?=Ygv~Uti3x9>u!YL@r^&==P{7tVb&$X87edYf0&(Pw~{s{-b&tVSy0{Yl^J~o$+ z4cWJ(q(_^zRpIaYiirM{&Y7C$of>6CUvnv1`WQaQE{{zV+AK`2Z%mH=z$=&I1h~rRe!1VI<#1QzG7mU&HUuE<7AHH z2=n5ZiFHQm=HcyKUECIv`j@Z-J^@+%_Ffo-vH)q`S%B;Y`xDB;A>F4l%679pDq$*Q z>g)g<4TEqDtb=3WG#G-nL3We95^`pB*=x8NkFXWeYx_Ak0m?$HhsU5CU?*TBJPRko z@8J~K-=)+IFcUVz0@wmWa2lKfTj4x79WI4Dzr7aT%GFPsfuhVAeeoC8n5 zx$rDp0KF06Q%>F;ZlzoT7s8Q{Nw+7$MX&`fhKu1cxE9K3w*_{>J#YoXZ@}R(L<`fDb?${sKM(*TQXZ9o!Aq!$Yv^ zVQxO)=2!3(l+*4!l+(_|a_NDIP{SN3r(8MQ1Z&}D*aCkI7r-s>PWT914>$^ZjK_b@a%Wt4*kx^;8V#}R&+i4Ni3v~jcT>l>cHsS_EKhDmeeY2 zBlZ&Z9(E4%GC_IRSgZ}}#5Q2Nu(z=@SR`Ybj$MmQ#%{-CVzy&452qr!Lk&G+vpk7> zugAyf!DHJ!>`qT|oPKz0y_Kjx96QK!H9{y(yF%3n*M$aok`czo>BXVyRH@hZKEOUw z@tiQS^`i9QibQ=Xl7yF;6MYO_2kL^bdvwbI*;+Chn_ z2u)6t^sji%oX#c7bjjc${jcnL4lXKvR9oO`iqkRUE3P_6&N${r7D1J}JG8&2&f8@( z?1vcE6@+uEy15sANU-pr(cdw8w9!|X@(!L;;@&j+H>R9z>P<1_a#Oy^)H@y4yVO0@ zmIO;p;BQQ#-6l|$viR2-|3MS)<0(Yn)K@mV-Zb=$q1)8E&g79}P3l!TI=k>r?HywJ&P>wf?y6U*4ADz$|BgcC9(%U8! z>%#h3R-`Vezgj;yF5uCZbj`%{?#U^=z}h$N1*@mQYxVDqZiujA z2C6`UWI5^5&5Z+fRbxM2nUQ3NSh^}NSHIHeO?67Dw4zk53Z?og=IGOngVJ)GP8;uV zr7}&9x7<3dDbxFw^US3cPg(O_Pu}24aFXz4(ib*NPieX)ZJ6_=Ws+1Xm1dIc^yu|X zY1U4?v?;~vr(bRIr}pEE)#~d_`{mxO(&w87rLDTsJLhsU=c07+)J&Z;wO?0X?pX|@ z^^>0+$tvF&ld#Y9XWr#BHPKS%r#~g+*Gjlv*l+617d<@22b_8}9m{WDQm~wSmnwuE z;R->{kiN0aijf&ORGgx-n!Q&!CG*U|psr{xw1(>T=8@Jg{dn_Gy{$P`pK8vxDzvAi z&??hqEkmv0dVWiZRoNYSzGqrG1DG*we9T6Y%C#}Bck#5<@+13vYf98O6kaW50l8PR zV#kQeFM`l?zdOLndriu2IZz87PrTlT=Ub*d%JIlc;b`Y>By;U(R<+Ka;dd{k9B@4H zb1>Dplh-6CnwOexVq%&3Oz}j?iwiF;PCqWhGDP~miHXs&0p(nc(%Ee(a-L?krMW{0 z9ueGfoH{OdgQIn~C3>U^2ie1I1up*i@VmC!i0;YhJu(09KOM8aj@F!p zAGs?NQ)8Rd_|nw4Newjki{nS_$|2Ca?6^+j*maju}RNj^6Q_v>e9>(;m~?(fX* zO1qNl{?XO9=g#?^Gv}N+GjnF{DziKDHSyrRb$`_S(~Xq-{F>^>D_V)>12D4SL+cKG zcWq$dI-(v1HWR(&JXBli%Mkg%8*7QG?PKlD_6E`5`PDo?F?{FS_lYL4z&SCW%XwG;iimPi9vN~Ax0E76dErAB*|bhKZury0_g)D3Wwvl!8=&Hjz!a0l!StJD7hV(4Mi8mr`x~O!j;n@nL+0x9?}!_ zXea+#iA&xSP}XDe9Quyi|6eU<1=J`? zcv=Ou%%Vt@{Vhj@)c}!4Z?4S`PYz=!jzk=AdraC6EwUMnGJAeA=39^St0qHPC3l&@IkC7Q6HL`dnRiI z4IQr!$D7gD7nJc9JRev9o#XWt$$BM>j0tCr3oCQNsxjg0&yP#1lQUJ<8rShSwxQ>E zq79U1%TMnAV^~i;%{evoa9u8^QA*B%wKyiQDLw~wl5c?xMO(2Dmg`lnxqv9%Veizd z4c-H$D{+nepk7s`1X1rn9!~w43JgC!&;E&Cy-jIlhncuW1!lU#>r36H+cdtuqy21l z6I3LWfy!KeBo6(d?IWYq8!GiRHJD!0cNiPCVAGg>l|4jogRAOJWuWTd=Ty!^rGa4J zY6zP^sC|pHS4@H`!jqs#WD-YiJD-dQmvhfw8Ud*?T z8r9{UNFjELlS1C6ZY?=gVro0>vqq_s_}!3%y-ihGvNbEYV$49#n7g=Vi~8l;e%cFS$D6fv+F%Qvfpig*)w9LmZHmEY;%XqHqkfVM9s-JQS$Rm zoD^s-=5A%&jKc$$Mh+vKSU%1~ebKoo-dTwi<6!a*3_Wpk9 zSdov`1!l}JGqD5M@)PVbp8Q3DT?Sy+Dvp@ZNZv3XV`GMyiFqa)W<2??1%?@z6flF8 zdAq#A^L8z@-eU%e?1YF}D;d(pCyaqfh8e8N8|Gyj^~EkAuSK)Pcyd93DWy0N4X4Vn zw#KH5(dtBxz0O~=eN}cPFoc5v(dnsMSao$4=RFa%CVOH$nJ)0eKd5c|iqXzYqv7&MkhW?#}xRC=b2a>@fpNPOPS)d15>naPXAd zHB-X*y{Xhag_e@@SxH4NhqI=0!83#4v5s@W-&5uQwlz>hwrKFeQb4n28v4R|=exccuFLu)q}`AhB04 zj>-FqMaeg<0tZU@<{yn%3>6{vQ{F3FK;r8a8sC72RBtUW{<@C`P!f6(d|!ixIBk#Rwl5Rx!k9Q!&EFU@^icZZV=RDA^1p+jZQTZdTb+ z?cAaZ#c#`I@OFMQ{vO4@z>Uyt@jLjLLhAMSCMCoDW-~Xk7aU2rKrv_1S1~t^va13& zv^q*&4@YOcDzT$_q;F#TZC7^}>L?K{lI>kcD<)4rOGWd$Z>T5meJV{m&42(5sXdy%PWYkKK* zy!T?NY1&O|mMy~b9q=F9FPRPHJ>X!3V4m>$slNTT2zFV`Zk_IOG7ULCGN#azP}6Ch z<58DR=N0{lqCHMVsuZ28=%B*89e(tEU1NG&AqO01oE~a%b$bGI)q5KBTgFMp?7`V79KAu=o!7+qtoAeD&eM1(ciha{W@rXN<60_eOGe3!_P)fC}dD$ zoiBQsw&+YB0}`O$YWEmAUC}-QJ+1NZZZuYa{+H`MNblE9;%%_eaZ$so{-pnuRr;L6o=U-#6NP68ra5IOZ z1=)XqdzQk4DvjOn05tm1t|AF808jT&B{)8R?u6`n;G&9KHpU5Z`+g?iN&5xp)1KEr zKe60@i^t!|H$bNfrg1;h3&gbCVEUowP0(5|)3*iFzZB;|-*f&J^j+`oK!2&|DMg!n zjFc%_>v~g|)b4r@v`Tv))Rg}&=0N@-=o4gpELO!3BJHnu2kSu44;42;_+*Qy)rSeEqcgIyDIy^K z7M;qm75-8gpjbmz_$CG96q=voTt-Be(7ieCkS8Wh`imU*AttAc&g8gHoDH&^>f>1} zAK|B>5G_^QU(sf*99$+Rd%&w>I<3oXta^yLT|**FzINvJsa#;L6=xgQ8_hCIn{%9| zwS)Wp95+Rq4=$s)Zy48kZ;%!A$BN5lw1SQ*?oh>h#*o13!ADcs-o$GOCmh(HiIo^1 zeq6|L%j6NkT~L7 zLI=cUeL1~MQtzPy=-dl>J@)+(SlER2H$^`kf&NZ9CYs^+aq$PfB%PxV6y5$Oft>Mt zAHh8=-+`fv?teybUU7-8i1&RT&{=VpripXH=QqVMg`X4iym4X5E}AXevXwd@UFupO zj#=U{?-E4)gilaJZbpnFY9Sxt_?tzrOKkX%he@D z#H(0tBSK|VJOIlx<L0SSmUp=n-9Vy=M(*t-M`~&=m+#^xXmmB08?jf;3F7u{A|I@WcysQH1ll$cX(I-FgJtVp?DG!T2 zP@VS3r^FLtCjALr(q`fK-!5mN2oHf)S@ef^OhY1PQ4>7{I!DpZDcY^@&(kRIUWMGI z=vI0K$QS7Y&=gA7GG%%n?V~l?KDwV)f!d&dmZSUV8`_Jiy;%+e7wVE=VBy=%m4=m} z`{+CLWBP;)5f(9FiKF5%;egnQ-Agz)*Gk+ewA(?qdbdc4V}pOz4?!+aWt?bNkc%E( zM|l1mG(wIH@2kSkcd!K=1;BHH4;NruB=Vo{9wa@8lYwhgpnAg5|?)h4s3de_jB zL~_91ReP;-McK_|kxJi~*nLyt*2IdwRNB5&TjATXE1gaZZc<%Fjk^0C z-8)%-)7HemmIRa+^`-k(C6Z|>hI@zl`?q}xzOrwie^tVMa7JZIk(u6fV(3%USM?=# zr1wBD`u!OmG5UPNPKVX9llo{2hPsnB(N;>(0JsF*i0^J%3E2Q-tH32GjjLzA6~jHH zhj3$_{aO8cX$ZahY1_Dk=vvgfG_Vx@`pJqxxQk*?%(?i?fEFR3G`{&TXxd8jyi1x}`cbpmBXCH2!VLk4QNnsdzOb9b`I{WyM!zF?P&KLzVFKIC{_}@DQ8UZhU zdI=cjUc=0caKGvb?hwrUjnX~qCx@9i7YLY{x6RCNoGvMY1L!8*E=O>2z}yoYz&m*s*RYe4rZ*&HPxC+NWDZ*%~aAnq8j(20Oa+qP)(r5z+Zs>473e!2HZ1;2>6i= z_zLXS1Q4cC?Z*8pnEAjQ5io0@)))c9<&@@LDRKFdFm1RT61}B#xg0h2_gbdSG}S0Z zB0MCYPnjI4$v*0NWFs?`Lgocq%qpLKESNcl5*Hk4Gef#67|6M4&=;Ovzt zjq8FV*V&6_wOg7V>~rYo$m9gLGEFz9;~UdCjlmt+$1Wa1z!?+fULF~u#6uGZ9fCjr z7Z{jO^aL)Q$`_zAPfQDD4g`XkgVotU4>%2_$@GN7pwY{-yjpYqKenj0RE>f*9X}XM zpHH;9cTsO(TSxT5zde1^H#_=Xns@rve;AFo8zOKQN^bsh_d5IfIh8WK&fYlZ+oOTG SA35dWd86in_r;-B*Z%;P0>Kyn delta 6260 zcmai33v?W16~6yJvoo_Zn@wgnyPM5(lQhXDX=~C4^nudSQd%rYLujQ1OAF-@pm6P++uJDG?C*DjO5r=t`-tci3%qZNHnGHeNi?-?g9rYs##d5+ zw!MRBRs)d+DMDo2)`oz&-Ctc+n=xkn??WxA5chM0C?i^fz<>TZeo&hkcN zTMp3})In6!WzkwegnzJ=ayWqTnIwi6y4C1rY8Yynl*7i9f$EkTq)`Y1R+zEqMs;Uc z<$}H$tczx@86Iz+bzS?F?@F;fqj{JuPGAS+`K^|Lc6#czry z5@}TfIjpmJBkP)2mqqu+?6rV+!?JWUs8)KZyOpv-%zsbu6-7R2laZMPn<<4C(o>?w zo1-Ukh*Q*b>1q`b6c^YJu7xL(iFn+PA^WShneuI1`>{}msNnS$G-oa)i*xJ(gXL9o zggK*D%8_jwvm1+5ki%unx4}yq7Dgt`QBY^HXfUNOs0t(6mEB88hs+td&J1YdreZ)r z`aXH90&Wg_%yuM}0FxVoh}PJHFtJKpb~()b#2r=0rX^lqXtRxiZambINOxjvC?eCd z(cZooHiufOvMoxPoK()4QdSp~HIvG@@1D}uX4}=!I`dE($H_jF!P(`-O_ovc|0MSC zSCE+3Xe63iF$Ps_pzM5jOJi9()3^Za>SC>*5cFTnwf>( zJw{EXQZzVsa5^o=aF+G-S>8{L+HK0pPcyR+BU;G~CN7Nz-JolIbYR|bIm{=dDx(}! z7sf}`cvy$XiaPOVsI$#=+|VbYWmwe7!{wH#>LG$&v*sG*pyrMxs^;-%I1)Y`$7vDj zfTQeHXHZp%GpJvAe5x0p@^(y4GO+;XJzbN^INrc;b25{aCSQ;Ux8be7YZxT0#YJ9|M5odc}vub0DP(rL~ zfZ|T)3N2eNv9yc4SFEVglm($lIGr_Gw#(N9gRL0Z6)YV&N6Rkp^;?6{?d(&HOgfzb zs&YEX?6@B>;LPb-2J6rF6YefuFABJj^nfpP?0|CeX3{dKkKHQM&|q{itOL~2w$0skm>58C*FCJo>)j(xcg>A$b2@g@k;nLWa5knnXD=L<=xZ}O8o|f zD=r7_eXJNOvP-7>>3g!OTv8Zba&Y;JPLPm(M=+Q_m-l7s~mwFgX?%z`+S~v zamq$vR2=Ut2BOBWF1u@59Lo4@;$Xfm)8lo7>#i-##}wwnJ5A|)d{9*VO7d3Iu`2uM zwCR{Y2}^TkvL16C4%eMmn2RaQg%eUb7oJ;sLs{zRv;wP%n9shZCh{uE6UA+*%!9>p z@{>4`!Rr}Uj|F(*)JrPFd^W64tvVB_yLhGCl9f^p?CHLRjx3>iIr3nr{AO4^rdM0_%j=)Gn{OJ$rX&a zjHO1rh@}kgxKf6Xc;{-=wD}gIN6?9kX|uERLTV7wnpm|oRZBb2wa$AOP&oLImr4;u6x^CB}$`?WFHbm)v{X^YGp zOyN30KMd3(#;-_@>uJQ^PovnSHa(&8Xt#FTy#*$wGFa-UY;48~l}(SvX{;a)rA!6% zK^5YL&@-^S0E#Qt} zm_^d0%2~d2p?FIKXkyxiF1o=c#UR~S(DgzWqtDM} z%Y$T?+eM6yC~2?CK1NR~$+LttOU5Yl4z~0xP3wTvT#(AOE=aQ>Vg5TUa?X}we0x@W{1d5%m@O6ST8B;PWEPMQ33_9wW{ z-ll)<|56nAZfrC`W4QDL{ad;F6Wj@U5SKNa+TMZcrrfv^Y{?4Lr+|`{qOTEa1+8|1 z^nfL>vw4#wfi|@RE2vxHVui~Uu2I;haHGPlz!(jv{!WEM3X=lfa@2^Xn%Y^QZiRio z6jqj*0rcC@e<&~q_$9f3hQxDD1&!0cg3D;1_@}dqM#S^Rg>;mp(M$U{&-#1N*N!F^v5-rtFhr z!R?|?hMb+iBj)?XQ5DceIVwlRMtOPYV`4ED<&$C~(4d|2;hcC-w9{wlHEk{~iYw$? zT&33mYv{wkW;y`ur2ByL6)sh{Lh(Nkcqr`LglbV{yKp7-KLzew9l z`)M`M1KwM}y_EZ?_LLHq$zdq?!sowY3Yv{JNK0|Zv8Cv793Vr)M4i|zJ}2%KIxGS> zAA|>F56(`rb_uZ6*(4<{9RBlU40?g{9zZ`qCf$bL2oZV|7$rT=r%HX)9g5!d{G#Vm z3J(i@Xw2mBI6H$ofrDCVcSO`Hj(K*H!fVN+h5j=1O@w6OMJ0%@b`^bn;v4ll3~x!x zTyICqO7EGL=)@md_Up6yug(r^y=x;G3A?m91X^97kpGHbUEPMqqn#YABC z^}2V~ybX9Le!{%(&3o^@;=D)JY*=;mo!8#x-8HW@cV8eSg=HBjA>8~o{N^#;6p?{I ziX!==T8b?EwXoJgCv2wzB5b)smb-_C)mE{6B>w|t`r|Uv-TcqOVK@IPH~(V5lrl1a zQ8H-ik@LguO_2dq(Y*<^57*UNDOnrIzn-kC)l4Cc3PE-Cq}iete+&*oKg+vpesbkd zUDyh{dl>!D-hhj`Faok_gLrmF@_%*n|Aq$(t8RrYGa%g|DJ51GUQAP$?jG;R{Pyi- zD${w2+VY^O$w>Zl_=k-b8JXjbbSv|PCLDz$ zBMSp4ReqccR;er&M@ANV>lVz*=>`TdjB7@uQZw9H_@)dlTjXlL*~xi?11aGSDcpl3 zHG%NKhr@WVz=OhZJpR=*pepT@7Rlclj^y{%`Z3=cu#_d^xDKqu^I*bQXlS#G|1$sw zfNHVdD%asVkLcq5mHnqjZ?FHr?mK(OPQI||<(e^(x6Uf)^Yv=pU`|myXoHKVh z_s+aAKGcm5^`4}F2wgL;oPikE+xl*Y%b^bah8}Iq|>E=zRcgD4U(C>Rf-xO37;{5UEUq^qn z_NhC!guPqyy5oVoa`ypg+a2QX3JeXlZWI$j!j?eVe5kk5arVR_;L*XLgq28-L8h?+z zOEpcH#P4Gh!u9vj)T_|AIQ^W;k4xouSzNe2N8J_Ikz4O)^~7Pp{B>%Y>qZn3U0b}e zv$Jh^T}M;r$`!NQ+LzVMT-tKOO!!Tcr;EvQw@b z+e{p}ycW#gk+ilmbXj9{cHt=XdP=^h*1mc15W_1m+@L(EUiVVML|`GU8l@XT zR_EDkw>B|zgV$BG#;EgYr5>?!XfEw*nx3|sb;eYus?*2FG1q2A#yNg%W!a zl-Q?Ee`he&8q;XV1Tkr5%zDUVXp3PcyaATLMpz1$z-!@+kl0!?ybiWN?xD5974Rn5 zp=l0nsY=c&&6jRk=Z}ugS{LEfh+J)$OE+;fWGx5RQ!=1Mb!B<031(WXgyMONX{l+q z!XWCaU@Tk>cfs$&XW<(799#>Zhh6XucpLl`>{e&9rt0Y`Ioq3l2RiA+olufgCP`wi zqb`$m7nB9K8_ELQqgH3f=?!W}wzvC!bmF@K$^>tOA@Bz<)TZoKi8UZSWO{&Un?PYz^s%OqA$<3;W=^a0mPgd>nqk{Y}GDD8%p^_+vPXDR~mM zz^7oV%@t4rx7+pAkhM0x4|l>1@EN!firr=?{*S_+!kzG0_$_30jqeKVf|J}3hv85f zM#AUem9QVi!rgEj6uSf{cIj|Hbxk_0EA?8gH{k_zgw%cx<SS(sx6>t0 z3|$T`^Rx_t2NAiB+aB-3p~LzEbs5$NP;&btICNMaQdii9+x{JnqU}74ge0lGrWvg3xJ&E$G;JGH?^JKi1>5tVw&z7C1D5#@hu>0|y(GP>Xl-Dfdb(jSYK^DaL9MV5? zyH0|^^n<)JqG29Pgyfwu9kM>gT=+e>1WxDBF*+!aIfe{i7Tf}7L)o8&@D<3C8E?Zf zcpT1wXJ9$h9oFM63|3N?ZAtzbY493Y1Q)<+cr9EG$!lW`tmV)#)>Bx7q7PmV`(Yh? z3)aIUuo3d9cr$z)ws%t)pwI#L z!A^J_u7c;_YUt-@J&U5?8tMsfEt~?oU?IE>*1)X55x6v3w#J}g-^l9;2yXQ z9)#QBN3aim?PUIUP~c_Fd0NlvqQ@`+2$a^a+`$%Gp7&JyK|||M~ab3WD+tJnSv}pN|Cw9WMn2H_SYa{ zFM6>rK*Z--L~b(=5j)WhwI7V{LodGhnmfn5K{}N}WCqfJl-MGMIY=Hd%_dG-o~rOw z>pg0xFRn%2tK}tMUMAKfdy%8aKae2yxf~*LfHWiW&iE*@2RVd%fs9~_UX2tWwa6-@ z2ib!hL{1Tud>eL>biAE3^bj8bK@ z<0a}Xv+r{dPj2MJ`xVwi{IKV8gWMmYz$0!S9=$DW!Z1S+JAC0Hze`eQz#>`7S zz}Dy5elfORw#~uM4xiihw_En}w43pk0KIm@YMU?HfxfhFlx^SOoxyh7@2qY2o?V}# z4wr>@yKSpEc5AlXI?LAIYwO*%zR9kSA8fbvE%sfD?Ot54^>b~#ypW>O7TJE^T1?b- z40g*V2ajpU*>Cc8+cDUo?weDsCe{aX7-%}y4*yd4p`pUD^ z6N`d+D@Uk9<-sbeBC5ACd;sXK>4YkC^!t9OZ<^a(t zczl7wBOiM%SxwfoXgkJc^?ucuxtlpRFSX`gZXLl4=-P^g_Kv2?w)W1-hW3`u)eIp_ zOE8CGwc9;wkoRe;XFaNTp*OO}^tM|UUvBjeRJSdR^!Nu3x#d9sSVE>&;n^|fxQjnen2(Y1wv`v@Y}nX3kt z*WMvtJI^i(A6|!s<69EZxrVLVTro<0TIc7KgE>IO)Q3BFQp%N*oXOGkkxn^}{Y4Rdo)XJuUi9zMC7YN-vb}zy zgKxvz>Sqtz6!`zXLO1?>7!w-YoS0h>V?B#j5uy`mW&_EV+`?ex4w*<6!Z_qD-~5o8 g4l~rs=KDhvC?z3@$V4O=N$K_dwLj~i-dmaVA9d+gJpcdz delta 5066 zcmaLbd03TI9>DSU1@2|Zs~{*|E-K){DmyBuC4?-BvM4Hu8zv5#qJXK5%C*7LQsZ*4 z)l7??M_Vk!rfk~Au_x!rn(S#>=Fz6+X>yrHaYmb_(&qbn??IXQWA4MbpZEOE@}Bd) z=Uuq&)292h*_IR-W*XKft2^5Mo_W~E?sM4Z2lKGq&u>U_^s#Cwy?*bGVRvtQsPxfG zr>nob9^2L(8I)uXD_R{;zB_$oV_e9A_g4%H`E=d$kMHlw?KInii^8h5v`_0Oc>CH6 z{}JPg!gt^H?2+7~Qg%2jz#eqm;oakLN4GiqqIoznz;3HFryks-95K)N4cl7Us$P$Y z^Ybrpws)V4Nixj}HE3j_S<#(4vQ#pjQ5nt(x{#p_Hhe>lu<;YgNxoH`8FinzS1lbq zj_)T%hnpvfEK-AFW6f{Wq}UX`SH^~$lhxMPCTFnCJCYM#tZzd0YPB_P#Hb!$!#L?@ z7*bm8b8~;G#5zdN5uc~tkGtI=krN~tL4X<>-{jnZ&fcREp!eE^oFbZloGPHsWD?# zINNk--?l-NUQk_Q7Mj5-BstW63;FMCDj~VfY*n7*P#cx)IqG2YJm(ea%E#r!`es?! zm#CpBbDZaBDW765)^~qOH>;f~F30z@?WBg3ZdNa+q&QN^?IVpQ;!&4V8lrQIgb-;q zSC21BGWs)sp59ekQo~hGVX8Wr8tnW~*GlZIg2B`}tFERlbmr^zI)X#q`=N9DK(#V$ znp5ie7>7M1m1=&oQ#o}hbeeFrei*4;1tZn0xU8aP9Yo9 z2o$NI88f+L)?~QKrx7D$Oh*~i4CL?|r8or3P!3f&GGK#?(Vc=bF%@SaJ2Wbh{*Bq{ zOvbpDZ|G7EOfwN#cpO6GGm?C&s407#hqA{Sls#U&blolW=93?Y3sCm75YurnW?(H& z#U;q-jiopT>rmG3GQ$|=rgP&L1nAtT$JMwTn{b8d%A969z*e=&&T>_)A}#}>jy0e) zDCe>fgON7w7-TZ;=Ws0^!n^QAT!$~=dOVIB@LjxHy^uA*j8W&aTxpw#$v!rtEHRm^ z^xZ;UChg`=4(}F}N#CQg#>R60n?KgoaxXDyyA9<)ZO1UY9|vjW+LAtp>ikH2fbvne z1IOb|%tool8nW8UDQhFnX*4{@!86*CV`s^+X|Wot)&VAKC@L^nqXTJd>_!?}^&Up) z;7NQ0pT|e>O?(XB(#pb@fyjZ9_y_nn{sFnP+-LC#JST@pDqhqTzr%eL_;N`0V?92F z%eAXf>No2A27H?GyRj2@;xo7lrCtY0`#s2-a392jcnzP$>-l=a=HqSPk5bSdSq|<2 z$SmD4_yUeV={O#xUK$=!+2b#9W89SEiti#um&RcX!fuqCg~Nz7dT$y5RA^Hxvt2E} z*0o>3z6bFkUQYfS7>^uxca|=f#qc(HSq#6$N%%XQiqd`t z9w%RF7!d|1$~}|736xdxdz_2!spy<}<^`G3Bu<_+B~G3-r4P|NrO`L0^ciiwvw3d| z`D_P}f=MTpCnvO}@6`TCYnj?7C{v^5e@*Rk@-nqQp-k;G_MO@p@-nqAP^R`3-im+0 zJp3BHql+SEaNzr^WfQW^9JPOfE1HF8&E*{Sr}R9^)pY^m@NeqOgyH5Ab!~zxi#2K0 zy@a8Z^3#T(V{m}7&1(8YSM?R$@~Uq6FO<2;;Sa~}$q&JQV*>twi753_ zv|~}~%UpjVUWnHWb!=je`L4P)(G_o)-r<=zoI)S;4r}U-A+0BVR^?9mWyB6Y!x-rA zJwd&XzlD^#{nRIumbYwSGnw2jWCU)mDI*n+U>b7njdbK}7>w-3lk7VA@#F`XhQUbP zBQXclkh9{x6>r1YI2o5=E^b85mU}z$1Z#0W#0FDzAHpJh3ybk%WaYZQ!WnoGOOYqF z_4EqIa`H)7f!R143vdoDz`59fRoIHv=)nbe5EpV6a=*sLA_5=bV*E4KVh=9CYj_6^ z^7TG@Qn8+VE-uF^T!D3XC$7Vl*ov!g4>sZ}*n}sr8PDL_7B()haTorG>o9;b#`$wc z;YJ*fo3I!+<6@L{4freEh^;6uv|I5Rl!wb3cptup+we4Q$MbkUnq0OmoOgFP8xP`e zY{M+{U?H|+6)IeT9k>a1vX)J$q49Uz?~eNFP?7)O%Elgdb|N!v*KNUxGk`|>~32WERgl$|TzUg}Vz3u^6Q zY|eG4T?NTL@MghapQR4@8}7@91LC{jMD zhP0m4MtWX#6*t%ivY#wQJ9U^36ihuP1Wl{69jD4?DtF2Q?Ilt6aB6i@t3*axUy@>X z5_r*}_Lk%f{NIsM??OqQ52eY|$2xlGrXS~TBx#~rGyUNfH;4Rf4(VEE#ik(_miRd} z#iKg@zK#d#_*$Lc&zz**J34+<=c9GIa-Gl8`T4q?TsO39F*fln9jwt6U(g-Nl`Zkh zI=@|)AJz38OhL+b_vW?J^^UG;ZMxkQ-H+Vbq+PZZ=L+1Q3!=4u)jKZM6-VhE1@;a| z$K@45I+~=*hxQIoJ74$b(Vo%++NsTz_Ovxlnd-69s-OTiy&v^NX|hTzTV(pFl(Io; zNBIEtQdy=-stxdzgsCsfLR5Zvq^B&r8_cfTUozWg1(*Z7184b~K{3Xt5b34ISIw@B zQ4=eJ1JiXVOnE9ZBEqcUrjAXO&sf9gZO~~`UsT38<=rD@z%h+<$4F%@)F}6 zaHQH^?cyKuHPzuh9f9i2>Ii2?V4tzn-W+O}x?DZN85Y#1bji&DY*StqiE^+Sq(Fr8|zms>oXj0nI6v4o4tH{i?q43zNtB{VSQo4tcH@sP2BHqOv78Q z+to?jJB^xt(k{QgX>~(v6aC$2;4SbrNaNYud)zf3q2YJ5$+nW1WHCYYIO zZ%u}or9R?&tg_EfG}Bf3{0wuPs+*r;W_xlEwJ(S?JJrwyg+WE!XXLXexO>%td*pYS z%L~K(d6*g{rXdT+wwGmEBvF2I$z9~K$!{npByWpht)^Nf6{?GpR?dDutE7#sYHRDl zjhMV4=3B8GZt?Nf))KZ{*4AdWie-xz9M58x?JW63$@|NT3ok9!Sh5&xgxXRb8YtI} z+(!ddbZxlYKZn&u*gOPok$_EZp8?iZrxo+mhT5eGcd}iz2|oO0^;&I#ucs`sJ?Q`a zKvs9f*L10n<%!vu!wlmH^IcCb&7?A}OL@r5VpfFZ{m955F>HC4lJ_WihceRC+T{;~ c$opLaDV~%>8so{mWM+D=gW%acrl&0UKeKwsJpcdz diff --git a/Serial Observer/Serial Observer/obj/Release/Serial Observer.csproj.GenerateResource.cache b/Serial Observer/Serial Observer/obj/Release/Serial Observer.csproj.GenerateResource.cache index 75ce892da355920fc7f50fbe03ffa3c4cfb0b514..51c792b383f8fbb6897b869a46659fdb3d0b41f5 100644 GIT binary patch delta 24 gcmeyu{)K%*D-$Ej<~AlXM%Isyvu~}Oe301~0C|@Q_5c6? delta 24 gcmeyu{)K%*D-$Er<~AlXM%K?)|2wEmKFDkg0Cw;RT>t<8 diff --git a/Serial Observer/Serial Observer/obj/Release/Serial Observer.exe b/Serial Observer/Serial Observer/obj/Release/Serial Observer.exe index 806c7553fd64ed9976a0161bbab308c2c8da578c..a264ce929591b2ce963519898a6c66812576a8d4 100644 GIT binary patch literal 16384 zcmeHOeRLevb-y#aTCG+ewq#q9KQ)#yUdy(uFMnc#rIln0B-v>3Lnh!hHm6UYfoLPJ6ta3Kwaa>xm#CSMRp+L8bzr}-i$(9qHXXvFFaa_uY5zefQmW-jLCGh-U8r3yTU#X!7 zE1p_;RvCP1<>;}5tz|58($Z5}Oi!oHtTt|FRxYh2(pvA{sFpJ0Mr(C-=mJ;tzCNNs z#Yg3>j~({3{fwHaQfVXF3`)?UHt)w%!+jJtTc_cnI(DN(0S0{Cfg5zbR62SC(R}$| zc)F;=LeGp6?d9@OqMw$z#Nx*^Q5En{M~Rjd(|=Q0%bPBoLEw9e+O%eklUd-$HUXec z`s&&ZOfC&pwOY0n117c|MS(lI6?f6K3l3?ujHC%hww0!Fv#g787hSuEdWujf`q{6z z*fy2Q=xcC$awQ=qR9XOS;Sr*YA}sF-ENJsP{$zRKg+w7WTn9O77y}tlwLgGe+AavR z7ojd(&kT*>27XweX3Rz|Hq_ULtC{~4B>3yi#UQrO(zYO7;@YCBr!ZQ6a|yFM#ib~k z7^9H6oQdiwxXNRYVktwof)%Kz$_rdloW&J#xL~~}ONz6&DjhC}^thxriwlDjuvfsi zYtak8dWxah#KuZdeLDa<1GdI}Sjpu2h6dLUn4f;LnJZQSSg6fdlK}NiyTX`Dp$ng1 zccC@K)V~nwe3!b&T+RHYL~5b#uEKCGfE|2eFbEc-rS}GUkD_aYdOWew( z`O1xj%4HTt0%Dg3&V(^VLubr(zzg54d*t=+o_n1B-Cyj~>#1iEs&pk*h+X`pR`n0_C5nDw=>n6PY@6VR^$9mF0ntB|3iQ z%sr=7Q{~Ov`PI*0X8Xfiz*r3tD=W%Bxd0x_&(T`H_^3!y1tdMIp5p1|NLu0wg1=`8 zDs$K*8`PG)i=gtnprtooBtureAW#>0yB;%8k(_~1Yn(%BY?wn@ym$_2$&%S5cGNOB zYC(BXj;Sp#>L9!7dG!=$3a^8nUBSBG+x1$JT@4FnlNuM#AuVp4P0AZl;plNZR59C# zmKDA*7L`!Tn?7ryiqO~wWW8G7;>2InB2h7?{7OfG%!n zY`IlEh5YLGNrG2TA&dI`ZW+1KU*;tK31_-V`tz9xrqG3I82N+e?1Hv3S>}8^XB%$r zmT_&`@HrEnlvu3~lw%N@=7)EH5Z(!JCLgCST1Q|{AdGb~)N+kCsSBwV$u87z$lN8> zzV!>#Q&8hyU+FweC=02+a1U26X!Fra2vxpRI|9 zB8P)&_i;1!jay{bxJCAiYWFh(=S0=s%|%X`s=bGc$d6tTUh;~_XI>EtvRA|!kD_Sf zrB)3OK!W3m2J_NB)$z?4xMYFg0Lb4vLArC8B~uyXgaSG&9-C7Bn-i-M|A}qMXUgUFFi`j)HQ=J>^`6 za!lY7=*9~bm9?&;?;^dl*h=;s;SRINQd%k50I zUM?tST+8wxFD&-Fw61Wqa|UQIqvBI8Lu?r$t#~w(x9a*?@La^o(?W+U!J3b$<{D884}+z-qc*GLufUw4rwr5(7_qr)4^qJDTh&s zub6Cote}JOrjb$|LYwF6kOp5X=-{P8`z~ysGmE&!`bj~wa6ZJNRWgg2+%(^nE^U5Y zD&31Xu0zCO6)2uY%|wsx0@&AW<9#+1KESSO2p{B!reV%&kMT^9SI#S#7(T>M-Y(6< zMFj3x34y&?Lf|1RA#mK35I9&%2s}$l2s~{|2%H>B2%N1-2%I}h2%Ni12)sU&5O@tL zA@Dj^Lg2NugdkfV@rTtBz&21qPKwUtuMfXZoOJ}S<#6~)JnF;m2ejedKzNMFE7b5& ze%^l_-0k16!nzoeo`GaFtb=ZjGkXkRn(KwBWyy7T_w=Lbv^4bwAf4!HZENjp>uksFm8gu8fYb2Ps_Te;f#)FnzABov z66r~sIkrO1hvAe}`=j(I&I~Y;v}*VMfnGeH0e(9IXH`#6?OtveOAycRP&i8|JmCkSaXYWf^-eE-pA$B&0KMg3UlE{VWen^6 zZwBYleNYjggZ|H#2k3c$j|kjb#+0Q3y9B0%9`RSB?e~2Q&j{tT|CzE7Z77d~YUu6C zhXFqqd?*m2zp09ZLi9s{uc0Cp#c3Tv;02tRkrN~*b}A45#1qr4&@q}2tFLz>r&2&%_l3FGZSJsBt07T zaW5jomQ;&99~2AUs9I7UqHjQQfIb*vdvs_FP)CsA17iPvvEhzNwqc#fIf>dj`aUt` zN!Spg)sXNweMzi30*wKBTjXQ~`l>&V-gTo#Ji6C2z=-p|`8bO?8|;?yG+x?Y37icY zIB`KDLS3}ts}+nP>!I$Kz`lg2!a9sFxd|Kw%1cQFRs+d5&=O$0OiiHfPk}WFHju{@ zs;IIQg{FNc0Phc71NctGb$~xmKM1%&VHmDv_%bnE6lD0T(2amAD;YkgF#L-05x}39 zeGKrW%HIS0D}iSPuB&3oLV+vGZ&Yfjqx@FDrM}Ms)~a_Xov6PH@Lu|&vQLA7bYtU- zhzW)66KsY~1?~gS`ev@1p-%$y(T81Z7+4tvS2=ZYVC7WhVk5yXD;4yzi(RVRr&Q9y z3mncTt1$XhexZZSQ@)~9(>xbztbRnP$=Ce^J)B3wZe4ls+wlK&E_O@kN%Z0_7yA`z z7Sf|G_D!-O~8;U_0vg z@`DfoX@ZbyVFv;)~#q5lxc zYam&nzsDk{QYEshkDd}9xCrz&g=e9ZZxQX!3ulNEGC4d9Rk{e!M_jAW4V4x2Vo;%4 zzyNadi@^}B1)NXq0=Eh57C0dAa)E~g>VS(VA?2$CP6=G8u(Y*+OQ>DS+XNm0)DWj! zdlkxSP`Za4m>w!Lcg4DpJbQ*1k0WU`0 zxeXEyqkOw^lx_q6B;Bd3hva*d4^^e;1?p6p|F91^l+wy6NKRET) zojy=?1K{h(Ft5{%WeopG>859uCqf@Vt6}xy^okOxz73RTLU+NoPpe;toL4Ho1=}i> zC+H33)vBlId&)82kHJ$_{TwV|`m@TG%2zOxyXiHmP}}I2pzJAs3$&6tXx%QlqV6NGm7|Axe1cj zs(*>mX$Q|?(0cg#Td9&304|_qfJ=q4ih5CA2f3}(DexkJ5usm3W1vTc@;-qvx*C+@bSI#VRc=5e zUypS{@m)_JrC+Pp(qK4Dnde#=bl&rWo9(5N|( z&f+;X7R~C}M6BDg^r?Y#B0D;jF`|iUjGbLw=c#PpOgo0mcrIyNOgr{ji4%I(7)WK3 zM#@ODJTu*EWc5VSzL>gux;nf1JNkNI(zebn*xSCXy{{|M-rX7L=t6CKKWaN7#9I3) zqg%GI&$P0=dRC{HnHo2H%#&jok8;d78Rwdm9W$+DVw}3;@j|0$#xUZgtsIgq6?!~A z7CC0bjvMjFF+DxWyo|DjJ;uqtbk^YQ@NAw*BkPgKM!7Gok0;TV%j{o0n?);+%1YSy z5hG?KPJk^sm5v>=%ryEJC;rgM>i9WoMvZiw#>R8mteNIc3+|xOWx-i})QFj2MP~;S zc6K0Zq=t;_F*8mn=Mgt1^jtDKkhZgWI%bGz-MOq8jp<1PBV`av88U2JpERg19Un-a zFpnG5V@xK}Zh4?LVP{O6`$i+VbT*MP`V&SnzDG~T3nUH@m$KWCaN($ODeRG6%gpqe zSEr-dDYWu@)MHw4!*Xa5cT@`;!+Odn9X6rBjm9XDKXrlu_M6VD;k%4#w4HafR2WAH6+bST<5Zp_NyOv^0^J%)t@o ztnM}Jn3c$sRyrP(79&PdKPe@9uIzml@>483w<2O@rmV!|v2#|XGJ1Nd(8iqxtP^_1 z6Ujujs8*&_BF*D^g5#2+NFRDq+dGb-LB4G@PCBv4sjV<2JtUG$AX`dZbjrq*ZgthQ zx(-89IcI(%$UW&8SS=_fFgP_Ij+Z!6B2?`kYtGYaGYi( zoG}W%o{u@aoieGG<8hlas_aE0deo2GSE|(Ylv4Go|@6$`qSHBx&dt zVZF1^oiviLICI2Jlkf*t1dEDSEGL;;Za5d$^qqi#`D!OI4oz6flbX}oD&lzfW0uxeuh&t@#5MzQ{9#UZ`U zeu-Vm0l~>VY4AQ^M5YKv%i|d6=Jbhy#U=pz0zGL?x(FhIX}oI&8CjhrNn)dY$SaPb zh>4vPca!(ul;k`(27kz|mdA_TFpDfZ)^pG~2!!m6wiIoN)pIbj^Up!Xh6YQRBPEAT zaXLPzPno$ac33vDkBjUxtO;a%7vs6du94`F0#k;~t0{U606BJSR39HeYB?#sjbfJ+ z!`8;3NVS=9kV2|OLUK0VrT!DY$O?dTOzy_4h(+F0M2okFATu-8cNNkH`c+7XPCrXi zqD#w&3#c!ZK?A;;qIj#aaJr%6j9kO{^*G);nQ}rP^$pS*GAZZcS(Gf)XcR|DqYUaS z%A$ldUYX2IGl%1mQvRNDs{+r@Q4**ypz4K27YDZu}x$u$MCf*vLmmS5e*T8iK1~Cci*09sJL_pD@VG?w90o%y~$K0uR z?SoP=ERMxzi;6xOm^9wP_o7`Klp#p8a6rKn9vWKgJolkZLNxT_$-{{^_?1bNIV^fm zdy>ZT;W7w(5;e4n2R4PEGw1LOLlav~tKXd`3W)~(!Ll{)&b3c$PQVVt{CPC?f-j5v zd^}NbVDAB|#u02;!O}%Ni=Z|wp5gJP1*JF#Bdt4k%Ux**QFT1ew@2EsG;YDs#_>d*|MfYb zY)Hblg7b3xHM@)VQK z>8FJEd)4Hxoqo2argr+d+UXb2KrJg*Yig(bh{CBdQfihj3u@}Jy6M-KFAL09X!#2A zg_LChQfp9ETOL|o6RcSt3_=5B%(%wAf#%EE=HRjlQlahNYG)Lj#0G0{$cmQGfp#!t zdChVkNWq#QYC#dPj*yvJF?41zTU}KpJ)BwYJXW*uGi!xm`kOf1W#RA-KwURZfa_-3 zYiBkI)3$Onuc@2aCgh!UGdqKScFmCki@SbyI{3gPV;`vfL1?=#P}hfh8SVkxL%1); zy&v}>+*jh({eimaI~m*!Y@shudjW1Oz#gqjIFB56xCVm;U%)iS9X>@>RaBtUgu%H} z;NAGb2d~@>H`Of+X}-GY(=~O|H!pKKbh<1koDA>w11`k(8yq|1TEQPnN7ed+mY%^; zQ2s>`$4%JGE8tUwZ=pi-oZVvnPy#;%P`Iu^p}PE0i1ye$n%2?QzEytWe!*6~eRJ2Q zj`j_m+uHOEU0XM|Z5Y=#Z`!b}qoZTf=D2QbF(&XM1BJ@l@f!pDBN|d@S?h4$X#OZ@ zy?cnU6Td^)+=`D}qcscjm3+RI)TekyyO3LH`5H~Q{cPecg*v^15%1-zb<{MIb}NoN z%=9D5ovt=@Z8E}k%3ontWwa$l)% z)RdUS8AP5C#VbG(=buT#J*qRUV0*r|55l~szw_!h*vwmSC+FDd8O0};hP7Vv&a2mJ zo?l!u*K6(@{m!(J%UXJJy|ynmjuVy3jHyu^(WZBfZ`q=6if!85j^lHqZR@sGbCo%# zwVqCN${BuGhHGDUFaKwo|F_!B-SGY_AK@cX`^cq+p5D-_r*W2jq}PneA&Y%vcOttdH-5yK9o~V2i{>ZG92~Ev zM{w+D=(aJtt~C=MXFGqJu3wE7tf|A7EYb>iamo9;Cxx)r2%X*hJg#+ z3riB)kO6FMC$L@N>jL6dqu8ME3zUue!W*YBAPVloWK>`iZ=IZN@mxtC7M?cp{&isk zi3J0{uwZ}i%Rwr?$-*{BuI8e;jOd?2Z{3$6muC+~M}Y?%%X?)L<-81LWTVB~Qof2e zXy`E3{cYb}Xkk7BWET;}~ z22q|A3G9gsURKzXlXwZqf@dyGqkBlhzYf^cj@M+uFQ(X!1v$=$@hdLBc=L;@=T(kj zwtcUwgGY>Q_xjG5yl(ympB4JBOUA(2;__(-zwi~0)A@Zt)Fr+zZ9jViX8V7uwCP5# zZ0X}TdN2i#{HAulh!OqVnHKOG(W_s5WXB~ZQ%Macb9~CVvl+{Kvu31YILk~=?rh#a z+P`6Iv&LUk<2d$98#|k)47>S~i>pJ`p&h#WtxSW6w7s)AXQj8>_?n#3?F}h!)3E_Z zecN?A)q0}6SxaFFnlS9Fw*e4+5Tm3B4{O%;8_Y}GTF z=8cYotd+yp5PTGPkM?vlOFQVcjaZHk1zj8z%eX2BJB>Jh*~boO(y-s7)J{*ThN~b4 zi%BfU-$4eA6Gl=?GThm$+s=n)t69q>oHOH{%@cakHr!5$kd5cC#xs3miS0W!=A8hB c9UHw7x>$z$y#XZoDsWzaeZ~J@|MxubpA508{{R30 delta 5998 zcmb7IeRLGn6~FJz?Ck99vSfF&yV-nB2nmyfk3f)uv`U0l(2#%#8f_62QI3{{P3SQV zFuSF4NOuM}CiOZ<)^BPT~py(jNKQi;=M|uz5w=VpC4^b}@ z8;D-@9c+w+vP2>1kE|rB^|}_!^!mgM>%bgPHhw$2&x&?2&-=cZAr^Wk#IzPi4JTR- zt0W)YGK=V;dLj*4l*s7rCfX(tsm)s@^=V7t#y`@jB(kIvfs1tfjP}W}jAUMS+c{r?dYU>AkDjqS}k-$>k`PsOiw@ z+o`6(Fw|# z53mx!_G-g_Td3>=nCY`%Y4Im74f>6nx6FGj-~3f+9@P-MiI>)V4ChaG7I@o@N;d^Q zqN^pB4Gm!E%lTH%53MeYjH!_rGX;}32K=|gd}Svh;`<+g{1}!GRCCkWY6lWABWj#U zxafJIjwyDbD$kWsRmn+IDm96!uAWG7X=+iLn7`~;NBm_gx=LoOfA;u4FQnNjmh z0!MEwv1Du_Rh61VrK%=UV_5{`(Aa$t36y8yavE**n)3nFwC%K(sg&6Ig-~Y7M4OhW zFR4{fosyb_+EG$dZPjg$O&Wf7$$|aPE2*irsB_of<;+E4*iAy9>Hq&zxO~!+!SPxwgsjtzfz~-Q!&ts&VoC zfSzOqH#ibA`d4uitX4F)s#^4nbR-*%j>G{2rOkbpCV;Nz&TK#8V0IF~}na_k(D~c1GevzE!EvyLCOjZ)iBpr2J}=MpBJ zOBwU;$i6aySCTF#xL%5Ii(`2I#<_4ujU%{!#}Rx+#u0q<#u0qJ#}Ryoj3ZpW@bXON zdQ{Ho=CqZV@3Mq*nOex@z_!brkK&PVJ_hW^(hX-l)AiE1f}aoW#&xUDs?XejNXHOa zIvc>JH?sScfGpdUQ(N`!3dn7<>Sb05)w}U6q;sgNIMlj+^tj<{f;@nvWmZ|ehoj9O zT8Kh4?IyYd&wEhFrZoeZEnBbY$39bcg6K(1+_ZKLRp5&Sp{eQowJVn4X+yu&tBcKu z_dvs7!dC*}RBKI}47tLkSkJLI^&7D?n zfr+aXEDoyGSd0~FH9ZD zC2>`dlW13g7Mq;b3&hN~k%UFf2=O=#sI(d|r9o#@paF#&LRVsaUD`q~9gn+1W*0uV z1!YAAJ!cG=8)+*4afkLu1a=2lLo2{`1lj`>p(He-1Mt`gziN`uj^i-ps1jNX+Gg0k z3$0#hE5C}ff0F|=!E6#!29WtSY=~&+FNxuYANV;rR8XoaTk1S++IX( zj&?!SXs1$h)C0{&|0-y4^8w+fmzvl$M;C~@M1b_^d95{MP>}xCoY$s`!y-ukDQG)F zM?`4M_8U4ZrqDSpdCzD4kBcyE&1)`QZ2d$`rCSQdzoXPq`c6UnkMB7VqtgZLV9-EW zQ!_Zsle9sLLtCh{9QDEmeHPmelHs2t;?%CRJ!QtI%3iEl`t|(}(ru`b~=7Ks^ zI}UBD(!OL;@C}im9ZJg=F+sbOcChLJa}I3$qp1S-QBiwJ^vDXr9i?nfi52psz~3kZ z?Ogf3;7di&Y4oSOgnofLtf2fK@?12Upkdr`g8r?-y^U~!?!mi3(l0FUma1uD#QQ;2 zojU?b(DGn_?luKFn42L5w5SzWL30%@QrM+%g~HVe`xI^j#%YU^w<{b}m=YYV5m-&F zN}j85HPA-K!0OT#C^Mkk@0$g@PtK=7@ylQZjnKaWi)o+uYp{!Q;sxWwbd;oV0qw)E z9-zQIdJtp#Ab(X{LBsIhOvBdw`&!2RC&7l z=v_FSq*sHV1|G(FKS_IijDHke^t?D=?ZdDh`8j%B+#b3WjAwll$=xa+Mxbv6oqdIh6CiEbHI*5}1(>rD#CF8UJ**+K8aC+PnN4ZC6s);COM z2*sBhkZDf!?l2bz(=gtv@Vg3+C>#_c=;(c7FD6JyuHT?&_mdbD&!M>n1=n&=oD2$T zl>4zYt?=msv&`_r=ddr1dVE0m#a!v$ZHC1nSz}cK8)c2i(NQ({oxn8oW>7d7@a2!A{^0{R_oWl~AADE6)*q@}r^i#UgCVO3?>2XuEtstQD>F6`C(+ z<30!hV-yG0D5lA!4?$_Ci-4U97b{$<_zUS$@M{!vxx%Yx8<^|pHef&9Emx?}duR`x zmV0PFodSBmZx(P5E!KXlaz=ORGvZn}?NCmn>3|H#8z?w>e3O`4EI zEkVDOxJLND^y07!oMIp3B>AZuU(Zq635=1RLCcqQTUaDwAW zW1{0VqF!;#a}*oj77rEVC6N6{$;8)20KZq!H;6{>tlesOZB4Vi^-asX?>EIpUu@d1 zPhWF&X3NIwSEVxp)L#;>-_k#DUiyaf(-)_^H};Rd?O0;;RO@xR*V3^Lue$wT*l2xa zb8PAUn~jR!zmbc02Rd5ZJAJkkrfJwhM6%z@KaTipie`^$HkrCDYE1}X%l3(|85uMq zyLd!x6}v{W&nVZQPa!>$eK8!4WM7VCkHcU3{4yNbfx<<0pxWWOTGN)b(d-}VYK;m( zb@ilKqSm0fG7MXV->M6n;X2dA4B^PGa6XSy7+x1f3TABp@84+ly-4=o-ln-7mrhZ$ z=i;17D5Sh|sr;jnGs-n9hwP*11A&eZ5=n??7rG^yn-$5;RZeI5F+3d2ou%kS(cB{M z4|C_ax)IHOjbTJHqLrEvnT}uE;8jPj&Of$uQemGhkS-%0E4<_LANF3G|H#bZ|AC{2sTM8s z(n|c!A-ZVIvNh}LE_M=!v)%U?&$o|W{d92jr)QZWT#H67{w(PAPAsUFPOo=*!Mmg3 Yg@5q{mgN6)eEGSfk#pV=2Rr=#1p?)Blv!Fw$FLIQ!u16+_DWs$`N(1!>J$R>(QCMc#TiVK!aCZB0zHR=G@ ziaKMtluMn-5SyBYGM5@FHJxE-T8*` z8+U)RbJ8C&UhE9>O|%7CpWXU)#J6kqtZxr`Z{|_UrmRx?@ebEBdfR})z?_yzmeP=* zm+#)P@#m`x+pg}5S@E&79SHQc`EK?z`_wl3b?PNo^aEkuHtVJfEfo(6`_Sh-m*n5R zL39m`_5Ae2^Y?dtJv33*%f!H833^$lYgn1Av_{>dZxKGx_j#XWbaH^=Vo|Wz6`h?~ z=;3Z!P0OrUHO-b{aXV^rS2Z;?E}gS-e$%RD`Hd@<%$d+oKX0)}iq3Wn@N~x>a>M+z z5t}M*Mn^`K(DDUDT2obPL7IpECUhSnd!$~tViq{Is&*3kwBtoP*TvzO#e+xDqkYURw#|`t-7qhQb zOpBl9FqB!rH21??DBg^p;`oPBC-n*LkF`vsBusJCFlYHhxnX|Vv91-{5@tD0E32cA z^){@#gnweS;~+znPv2p&rEFbdU82)|mRJK1Y3&mG5|ixxsjWcS;gsBLBD@`-T6{KQ}j z5$A?aadB@aWDXmhk;HSO|0AB=JPjI8N93BxeevGvu_&VIZu4F|ZQG!Kp9{PJ?o_t3_0DjDAu~PIkIx zqvL&QbChollm}uSbiun|30wf>oEO1bxESK2HHdx53HD)>+((zy5*;H0{Fh#$i2Pf5_Piy0}-xb^7dh#!RU zaHCmI*Zj2)dftO42R}?~OK~=D#wvT-0_FDjEtG3?O0WOoF(-~ zmHut`Ec$bBHyp!@$JfY)(r=uq=Ruaus8;$}N?)r_(6rxUSc2jOcnI!?N0j3+Reu|H zpueE>mz4f1*ePzN?$n~PgLW-jvvUINT4v9V zk|WwBD$<=PKj1;e(Eh0+|7Av`;vL!JEyvi^y*A>rq=3|0D(XkrcmMrFJr-}NPgEpl zXu2*wPmk7b37-sSxJ}oLaFkxqt`+rkLo(1pge#*s^aQ6W1Chx}rZ)b|t*yOb6T36! zHgBNFN*Gc_FUFIQ6*1UcWG~Hl5hlSuL3Y1!7^cCKFdedz8mGay4l`jt_Qfa|3|Sc? z5@y3pm;(#oI4C#bcus+_fI=RMm2e__1m?phVF7#rG8^MnSOVXIli@{J3crJ8P`9|# zoE=tCcfhGI8BT-aA;;6Gg41CQyn|C{G*Fn0Vm-VQ3OEPufin+eE1Q(3toW> z;4Qci`g&+uJq&^}7Y>I@VIFLRRd5+x441=u;EHAn8!4=W0ye?tAV+ zTi^xAzA(OqE%0Z!751~bv)>^281*FhIGh06VL6mpuLf>|4e%+r9zG2P+z$6xS^pgr z4x-ozkHOvWG?dxwGTaMqK$*q*v5%jFA@F$^3-`k`$VN4ap-fh@-~reOJK-955N?96 zz;^gYxZlS5ze?c{3U;{hKJ0>*;A`+2JPduk+}SA<9;KcFkHH-HCM<<-!I|*Sa5;Pz z{u-Wun;|F0*a=U;PWT=?)=c3vg>&!>{0jaB-hl5zZ;tC(=z!#UBOd-0j)fn<68IsU z4Q0|>3O|C)P$s_?cmeK!7vTZ;2|Nlvh2f_tT%vFueg-eW&*4|_3-Rdalz}DWugQpH zsbWFr(a|1y^JuD7$Rwm3$wbB?V~}Y`F;anyLS)jE{!BF->7NTE`I@*t5e`q_<@a zwgvJ%a-^S#&YEi*NO6px*pgMG2a5Aqk+vWd^ZkS+I~T>o>_}TMip73nX?Ctd#&v)H zOxC#W`MFcNm+;RB5Ert;EHrg1yVODhpX7#$x|{(%i~q-`B_}pw6eqX@sY4z@wj*7L zynkF{jPZKg_`$XS`U+=^<0C8}fBX>%U)M~lH|H=+bW{hlmTzdw9c&B1aRrXKa=`Vu zkpZD7R-3&t#1Zr6H8rl_VmAEtzM~(8iJ(t%<1MRcc0z_djb>%eScn+1c~LWAZ*vRp z;5ci%3V({)Hp-Gi7^@@)*yI_d4<}mcUsCm#Sa(_XQTov;ZitFYSFBh5Un>7~ZvRSc zInlC#R%N(X@io=ZH!3h)b#SuVuHvpMzjLZSS)9oWZni70$;z6ptP_>~VWqb#{d`rA z>b5I=z3O$68pTbeuTc8#`|@lR_a8SCv>n~UQY7t|(_#r%8R?+785Lzi@n3mik6&_ET0T{ zjdC{^D5oQi$Ha&=#oi9H*6o$4Y28h=i*3b`yR369J4-F0@(-Zi?KDc>3*Aj+*~CZ1 z5soa9e~)=|uX(TVFCP@Tx39HU(?V5nh?giT4|Rl)z+QJCTU;rRXs#nQ_cT4fw`qB8*0sX`=y(6Z>-zL9h;I&at~5dP4tz)dG#xoHLheFJ)ODrDj=n|vvzT~BJ#F& zGfG)g#rG8ndYXu+94j_g_7ioLae9W>QaMu36h|r(^pWBUGAb(W4J~h~@3=MZ5xjC&{I1%HdmJ6+Gn$v2Y2wAtvUw;mn zrR$Uu%t-kI&1Dto!5Q*0?eZFvW0negbY+y1q>c;2kZPxO7j=i}Bfr?Yz2%P*5vEUw zXsvd7$#39%`!>fFPJ#>)%L@X;rD{*BOypi-$n;?A7)lAUBy)Jg^iZqJ-=-;I1tnTA zAI919Fhh+bx?*~~g`eV{nx5~`=KKGC^t24I~%{KQ_b=0w$m#ArKM54~Yl}go=vh zNznpTOLBpth=Sq>N<|1ArD_G#sT8d;)q)*xw1chHiqKIzbVU0(Z*Ktoqi=Hd^X~VY zd+vSr*S?K?%Cg_KHstw+Dy1SVKDdIt*`8z8ewW8(eb;NbE?E0Lk&y#tWFMI>0W&k2 z@{$kOlXiKI7xvEkLu_w)Opzz4^}e2o8*2|;Y={~(ye?(am}d`!4(w0ip0CDq;T zowBKH(XzaRUGFT+OgM4Jo~=8?w?E12iRp-Q+Eg8Jz1HNj*8X&_$Nj*`&tJbs`vyK2 z88s=jN53*KFEaD~#x=d44J@*(ar)|BvN z29HX8EFzrxwJ@Adw^E*fUX(j1aMGpJ0wTm4;9jaGtB8aFXmhb=MAyH~y(Kj((y6Kn z!*D)5!$MVkV zB`e1-X<9OA_VP}p_XURQqGGR=t`84Mv10Z0#W$vIU{vIKk6L{f={@=SVDZG%e%!f~ zNBtYuO5?V8OcrY}QJ0of>CTdLU$lyGA`TESPVXr3&n{NVew|Vf{s|6)pTgnrEF1y9 zhofKuqsH^viEs=|g4Hk=j)VEI7FNOWa5Svb8Kq;`EH{<m%TlyPm=yGwJe!}@rsKh%cCwGsViM!(DGwo+Yc3l5U2N8mR2DBKPu!IP#ekNz0C98jXm z(vY~La69|}?tmkhTI$%Npu~+aSdS?Uxk^Fr*#(7VK{^`Pi>EYZ^9~gRDUw8#2Tp+%KZuNqWRQdr`_A|RqIjZ{^0wL zs?=Ygv~Uti3x9>u!YL@r^&==P{7tVb&$X87edYf0&(Pw~{s{-b&tVSy0{Yl^J~o$+ z4cWJ(q(_^zRpIaYiirM{&Y7C$of>6CUvnv1`WQaQE{{zV+AK`2Z%mH=z$=&I1h~rRe!1VI<#1QzG7mU&HUuE<7AHH z2=n5ZiFHQm=HcyKUECIv`j@Z-J^@+%_Ffo-vH)q`S%B;Y`xDB;A>F4l%679pDq$*Q z>g)g<4TEqDtb=3WG#G-nL3We95^`pB*=x8NkFXWeYx_Ak0m?$HhsU5CU?*TBJPRko z@8J~K-=)+IFcUVz0@wmWa2lKfTj4x79WI4Dzr7aT%GFPsfuhVAeeoC8n5 zx$rDp0KF06Q%>F;ZlzoT7s8Q{Nw+7$MX&`fhKu1cxE9K3w*_{>J#YoXZ@}R(L<`fDb?${sKM(*TQXZ9o!Aq!$Yv^ zVQxO)=2!3(l+*4!l+(_|a_NDIP{SN3r(8MQ1Z&}D*aCkI7r-s>PWT914>$^ZjK_b@a%Wt4*kx^;8V#}R&+i4Ni3v~jcT>l>cHsS_EKhDmeeY2 zBlZ&Z9(E4%GC_IRSgZ}}#5Q2Nu(z=@SR`Ybj$MmQ#%{-CVzy&452qr!Lk&G+vpk7> zugAyf!DHJ!>`qT|oPKz0y_Kjx96QK!H9{y(yF%3n*M$aok`czo>BXVyRH@hZKEOUw z@tiQS^`i9QibQ=Xl7yF;6MYO_2kL^bdvwbI*;+Chn_ z2u)6t^sji%oX#c7bjjc${jcnL4lXKvR9oO`iqkRUE3P_6&N${r7D1J}JG8&2&f8@( z?1vcE6@+uEy15sANU-pr(cdw8w9!|X@(!L;;@&j+H>R9z>P<1_a#Oy^)H@y4yVO0@ zmIO;p;BQQ#-6l|$viR2-|3MS)<0(Yn)K@mV-Zb=$q1)8E&g79}P3l!TI=k>r?HywJ&P>wf?y6U*4ADz$|BgcC9(%U8! z>%#h3R-`Vezgj;yF5uCZbj`%{?#U^=z}h$N1*@mQYxVDqZiujA z2C6`UWI5^5&5Z+fRbxM2nUQ3NSh^}NSHIHeO?67Dw4zk53Z?og=IGOngVJ)GP8;uV zr7}&9x7<3dDbxFw^US3cPg(O_Pu}24aFXz4(ib*NPieX)ZJ6_=Ws+1Xm1dIc^yu|X zY1U4?v?;~vr(bRIr}pEE)#~d_`{mxO(&w87rLDTsJLhsU=c07+)J&Z;wO?0X?pX|@ z^^>0+$tvF&ld#Y9XWr#BHPKS%r#~g+*Gjlv*l+617d<@22b_8}9m{WDQm~wSmnwuE z;R->{kiN0aijf&ORGgx-n!Q&!CG*U|psr{xw1(>T=8@Jg{dn_Gy{$P`pK8vxDzvAi z&??hqEkmv0dVWiZRoNYSzGqrG1DG*we9T6Y%C#}Bck#5<@+13vYf98O6kaW50l8PR zV#kQeFM`l?zdOLndriu2IZz87PrTlT=Ub*d%JIlc;b`Y>By;U(R<+Ka;dd{k9B@4H zb1>Dplh-6CnwOexVq%&3Oz}j?iwiF;PCqWhGDP~miHXs&0p(nc(%Ee(a-L?krMW{0 z9ueGfoH{OdgQIn~C3>U^2ie1I1up*i@VmC!i0;YhJu(09KOM8aj 0) + { + while(Serial.available()) + { + tab[i++] = Serial.read(); + } + + if(tab[0] == 0x02 && tab[1] == 0x0C && tab[2] == 0x00 && tab[3] == 0x00) + { + Serial.write("OK\r\n"); + Serial.write("Your data is : "); + Serial.write(0x74); + Serial.write(0x65); + Serial.write(0x6D); + Serial.write(0x70); + Serial.write(0x3A); + Serial.write(0x32); + Serial.write(0x1F); + Serial.write(0x43); + Serial.write("\r\n"); + + for(int count = 0;count